Unterschiede

Hier werden die Unterschiede zwischen zwei Versionen angezeigt.

Link zu dieser Vergleichsansicht

Beide Seiten der vorigen Revision Vorhergehende Überarbeitung
Nächste Überarbeitung
Vorhergehende Überarbeitung
microcontrollertechnik:3_logische_funktionen [2020/05/06 23:20]
tfischer
microcontrollertechnik:3_logische_funktionen [2024/03/11 00:12] (aktuell)
mexleadmin
Zeile 1: Zeile 1:
-====== 3. Up-Down Counter ======+====== 3 Logische Funktionen ======
  
 ===== Tasten einlesen  ===== ===== Tasten einlesen  =====
Zeile 14: Zeile 14:
 ==== Video ==== ==== Video ====
    
 +{{youtube>RsyK5vLYXBI?size=700x400}}
 +
 +<WRAP hide> 
 {{youtube>E9GCkonogcQ?size=700x400}} {{youtube>E9GCkonogcQ?size=700x400}}
 +</WRAP> 
  
 </WRAP> </WRAP> </WRAP> </WRAP>
Zeile 22: Zeile 26:
 --> I. Vorarbeiten # --> I. Vorarbeiten #
   - Laden Sie folgende Datei herunter:    - Laden Sie folgende Datei herunter: 
-    - {{microcontrollertechnik:3_logic_functions.simu}} +    - {{microcontrollertechnik:3._logische_funktionen.sim1}} 
-    - {{microcontrollertechnik:3_logic_functions.hex}}+    - {{microcontrollertechnik:3._logische_funktionen.hex}}
     - {{microcontrollertechnik:lcd_lib_de.h}}     - {{microcontrollertechnik:lcd_lib_de.h}}
  
Zeile 29: Zeile 33:
 --> II. Analyse des fertigen Programms # --> II. Analyse des fertigen Programms #
   - Initialisieren des Programms    - Initialisieren des Programms 
-    - Öffnen Sie SimulIDE und öffnen Sie dort mittels {{microcontrollertechnik:simulide_open.jpg?25}} die Datei ''3_logic_functions.simu''  +    - Öffnen Sie SimulIDE und öffnen Sie dort mittels {{microcontrollertechnik:simulide_open.jpg?25}} die Datei ''3._logische_funktionen.sim1''  
-    - Laden Sie ''3_logic_functions.hex'' als firmware auf den 328 Chip +    - Laden Sie ''3._logische_funktionen.hex'' als firmware auf den atmega 88 Chip 
-  - Betrachtung der neuen Komponenten: In der Simulation sind nun neben dem Microcontroller, der LED und dem Display Hd44780 zwei Schalter als neue Komponenten zu sehen, welche mit S1 und S2 bezeichnet sind. Diese werden in diesen Beispiel zur Eingabe genutzt.+  - Betrachtung der neuen Komponenten: In der Simulation sind nun neben dem Microcontroller, der LED und dem Display Hd44780 Schalter als neue Komponenten zu sehen, welche mit S1...S2 bezeichnet sind. Diese werden in diesen Beispiel zur Eingabe genutzt.
   - Betrachtung des Programmablaufs   - Betrachtung des Programmablaufs
     - Zunächst wird eine Startanzeige mit dem Namen des Programms dargestellt.     - Zunächst wird eine Startanzeige mit dem Namen des Programms dargestellt.
     - Als nächstes ist ein Displaybild zu sehen, in dem verschiedene logische Formeln mit Ergebnissen abgebildet sind:      - Als nächstes ist ein Displaybild zu sehen, in dem verschiedene logische Formeln mit Ergebnissen abgebildet sind: 
-      - AND-Verknüpfung: $S1\&S2$,  +      - AND-Verknüpfung: $\rm S1\&S2$,  
-      - OR-Verknüpfung: $S1+S2$,  +      - OR-Verknüpfung: $\rm S1+S2$,  
-      - NOT-Verknüpfung: $/S1$,  +      - NOT-Verknüpfung: $\rm /S1$,  
-      - XOR-Verknüpfung: $S1 xor S2$+      - XOR-Verknüpfung: $\rm S1\; xor\; S2$
     - Werden die Tasten S1 und S2 gedrückt, so werden die Ergebnisse aktualisiert.     - Werden die Tasten S1 und S2 gedrückt, so werden die Ergebnisse aktualisiert.
   - Das Programm zu diesem Hexfile soll nun erstellt werden   - Das Programm zu diesem Hexfile soll nun erstellt werden
  
 <-- <--
---> III. Eingabe in Atmel Studio # +--> III. Eingabe in Microchip Studio # 
-<WRAP group><WRAP column half><sxh c; first-line: 1> +\\ \\ 
-/*============================================================================= +<panel type="info" title="Achtung"> 
- +Beachten Siedass die ''lcd_lib_de.h'' in Microchip Studio wieder importiert werden muss
-Experiment 3: Logische Basisfunktionen in Software +</panel>
-============= ==================================== +
- +
-Dateiname: Logic_Functions.c +
- +
-Autoren: Peter Blinzinger +
- Prof. G. Gruhler (Hochschule Heilbronn) +
- D. Chilachava (Georgische Technische Universitaet) +
- +
-Version: 1.2 vom 27.04.2020 +
- +
-Hardware: MEXLE2020 Ver. 1.0 oder höher +
- AVR-USB-PROGI Ver. 2.0 +
- +
-Software: Entwicklungsumgebung: AtmelStudio 7.0 +
- C-Compiler: AVR/GNU C Compiler 5.4.0 +
- +
-Funktion: Auf dem Display werden Ergebnisse von +
- logischen Verknuepfungen (UNDODER, NOT, XOR) dargestellt. +
- Die logischen Eingangssignale werden von den Tasten S1 und S2 +
- eingelesen+
- +
-Displayanzeige: Start (fuer 2s): Betrieb: +
- +----------------+ +----------------+ +
- |- Experiment 3 -| |S1&S2=0  S1+S2=0| +
- |Logic Functions | |/S1=1  S1xorS2=0| +
- +----------------+ +----------------+ +
- +
-Tastenfunktion: S1 und S2 sind die Logikeingaenge. Betrieb ohne Entprellung +
- +
-Jumperstellung: keine Auswirkung +
- +
-Fuses im uC: CKDIV8: Aus (keine generelle Vorteilung des Takts) +
- +
-Header-Files: lcd_lib_de.h (Library zur Ansteuerung LCD-Display Ver. 1.3)+
  
 +<WRAP group><WRAP column 40%><sxh c; first-line: 1>
 +/*=============================================================================
 + 
 +Experiment 3:   Logische Basisfunktionen in Software
 +=============   ====================================
 + 
 +Dateiname:      Logic_Functions.c
 + 
 +Autoren:        Peter Blinzinger
 +                Prof. G. Gruhler (Hochschule Heilbronn)
 +                D. Chilachava    (Georgische Technische Universitaet)
 + 
 +Version:        1.2 vom 27.04.2020
 + 
 +Hardware:       MEXLE2020 Ver. 1.0 oder höher
 +                AVR-USB-PROGI Ver. 2.0
 + 
 +Software:       Entwicklungsumgebung: AtmelStudio 7.0
 +                C-Compiler: AVR/GNU C Compiler 5.4.0
 + 
 +Funktion:       Auf dem Display werden Ergebnisse von
 +                logischen Verknuepfungen (UND, ODER, NOT, XOR) dargestellt.
 +                Die logischen Eingangssignale werden von den Tasten S1 und S2
 +                eingelesen.
 + 
 +Displayanzeige: Start (fuer 2s):        Betrieb:
 +                +----------------+      +----------------+
 +                |- Experiment 3 -|      |S1&S2=0  S1+S2=0|
 +                |Logic Functions |      |/S1=1  S1xorS2=0|
 +                +----------------+      +----------------+
 + 
 +Tastenfunktion: S1 und S2 sind die Logikeingaenge. Betrieb ohne Entprellung
 + 
 +Jumperstellung: keine Auswirkung
 + 
 +Fuses im uC:    CKDIV8: Aus (keine generelle Vorteilung des Takts)
 + 
 +Header-Files:   lcd_lib_de.h    (Library zur Ansteuerung LCD-Display Ver. 1.3)
 + 
 =============================================================================*/  =============================================================================*/ 
- + 
-</sxh> +
-</WRAP><WRAP column half> +
- \\ Ändern Sie die folgenden Informationen, je nach Programm:  +
-    - ''Autor'': Der folgende Code basiert auf eine Version verschiedener Autoren, diese sind hier angegeben. Wenn Sie einen eigenen Code generieren sollte hier Ihr Name stehen. Dies ermöglicht eine Nachvollziehbarkeit bei Unklarheiten +
-    - ''Version'': Um die Aktualität der Software zu erkennen sollte mindestens das Datum angegeben und bei Änderung immer aktualisiert werden +
-    - ''Hardware'': Die getestete bzw. verwendete Hardware sollte angegeben werden, um nachvollziehen zu können, wie der Code getestet werden kann. Bei Simulationen sollte hier mindestens der verwendete Chipsatz (z.B. ATmega328) angegeben werden.  +
-    - ''Software'': Zum weiterverwenden des Codes ist die Angabe der Entwicklungsumgebung (engl. integated Development environment [[https://de.wikipedia.org/wiki/Integrierte_Entwicklungsumgebung|IDE]]) wichtig. Nicht selten gibt es bei größeren Projekten Schwierigkeiten, wenn IDE und Compiler geändert werden. +
- +
-</WRAP></WRAP> +
- +
-    - ''Funktion'': Die Funktion des Programms sollte kurz erklärt werden. Damit wird dem Leser bereits vor dem Code schon Hinweise gegeben +
-    - ''Display-Anzeige'': Ähnlich der Funktion ist auch eine Darstellung der (erwartbaren) Anzeige sinnvoll. +
-    - ''Tastenfunktion'': Bei zukünftigen Anwendungen kann eine Eingabe von Tastenstellungen sinnvoll sein. Dies sollte hier angegeben werden +
-    - ''Jumperstellungen'': [[https://de.wikipedia.org/wiki/Jumper_(Elektrotechnik)|Jumper]] bieten die Möglichkeit unterschiedliche Schaltungsteile der Hardware zu verbinden oder zu trennen. Damit können Hardwarefunktionalitäten aktiviert oder deaktiviert werden. Wenn verschiedene Jumperstellungen für ein Programm wichtig sind, so sollten diese angegeben werden. +
-    - ''Fuses im uC'': Beim Microcontroller (auch μC oder uC abgekürzt) bieten die Möglichkeit interne Konfigurationen anzupassen. Diese werden über [[https://de.wikipedia.org/wiki/Fuse-Bit|Fuses]] eingestellt werden. Sind hier Funktionen für das Programm notwendig, so sollten diese angegeben werden +
-    - ''Header-Files'': Werden weitere Softwareteile genutzt, so sollten diese über [[https://de.wikipedia.org/wiki/Header-Datei|Header-Dateien]] eingebunden. Diese sollten bereits schon vor dem eigentlichen Codeteil kurz erklärt werden. \\ +
-  - Nach der Beschreibung steht im Code der Deklarationsbereich: <sxh c; first-line: 40>+
 // Deklarationen ============================================================== // Deklarationen ==============================================================
 + 
 // Festlegung der Quarzfrequenz // Festlegung der Quarzfrequenz
-#ifndef F_CPU // optional definieren +#ifndef F_CPU // optional definieren 
-#define F_CPU 12288000UL // MiniMEXLE mit 12,288 MHz Quarz +#define F_CPU 18432000UL // ATmega 88 mit 18.432 MHz Quarz 
-#endif +#endif                           
 + 
 // Include von Header-Dateien // Include von Header-Dateien
-#include <avr/io.h> // I/O Konfiguration (intern weitere Dateien) +#include <avr/io.h> // I/O Konfiguration (intern weitere Dateien) 
-#include <util/delay.h> // Definition von Delays (Wartezeiten) +#include <util/delay.h> // Definition von Delays (Wartezeiten) 
-#include "lcd_lib_de.h" // Funktionsbibliothek zum LCD-Display +#include <stdbool.h> // Bibliothek fuer Bit-Variable 
 +#include "lcd_lib_de.h" // Funktionsbibliothek zum LCD-Display 
 + 
 // Konstanten // Konstanten
-#define MIN_PER 59 // minimale Periodendauer in "Timerticks" +#define ASC_ZERO    0x30 // ASCII-Zeichen '0' 
-#define MAX_PER 255 // maximale Periodendauer in "Timerticks" +#define ASC_ONE     0x31 // ASCII-Zeichen '1' 
-#define WAIT_TIME 2000 // Wartezeit zwischen Flanken in ms +  
 +// Variable 
 +bool sw1 = 0; // Bitspeicher fuer Taste 1 
 +bool sw2 = 0; // Bitspeicher fuer Taste 2 
 + 
 // Makros // Makros
-#define SET_BIT(PORT, BIT) ((PORT) |=  (1 << (BIT))) // Port-Bit Setzen +#define SET_BIT(BYTE, BIT)  ((BYTE) |=  (1 << (BIT))) // Bit Zustand in Byte setzen 
-#define CLR_BIT(PORT, BIT) ((PORT) &= ~(1 << (BIT))) // Port-Bit Loeschen +#define CLR_BIT(BYTE, BIT)  ((BYTE) &= ~(1 << (BIT))) // Bit Zustand in Byte loeschen 
-#define TGL_BIT(PORT, BIT) ((PORT) ^=  (1 << (BIT))) // Port-Bit Toggeln + 
 // Funktionsprototypen // Funktionsprototypen
-void initDisplay(void); // Initialisierung Display und Startanzeige +void initDisplay(void); // Initialisierung Display und Startanzeige 
-void initPorts(void); // Initialisierung der I/O-Ports +void initTaster(void); // Initialisierung der Taster 
-void initTimer(void); // Timer 0 initialisieren (Soundgenerierung) +void readButtons(void); // Einlesen der Tastenwerte 
-void init(void); // generelle Initialisierungsfunktion + 
- +
-</sxh> \\ Bei den Deklarationen werden Vorgaben gemacht, welche wichtig sind, bevor der Code vor dem eigentlichen Prozessor oder Controller ausgeführt werden. Die Deklarationen weisen den Präprozessor an, bestimmte Vorgaben zu nutzen (Details zu Präprozessor und Compiler-Direktiven sind [[https://de.wikibooks.org/wiki/C-Programmierung:_Pr%C3%A4prozessor|hier]] zu finden). Folgende Punkte sollten mindestens angegeben werden: +
-    - ''Quarzfrequenz'': Die Taktfrequenz des Microcontrollers kann entweder intern oder extern definiert werden. Diese Frequenz sollte immer angegeben werden. Wird dies nicht vorgenommen, kann es Probleme bei der Handhabung von Wartezeiten ("Delays") geben. In Simulide kann die Frequenz des externen Quarz eingegeben werden - diese sollte zum in der Software angegebenen Frequenz passen. Die Angabe ''#ifndef'' ist hier eine Compiler-Direktive und keine C-Code. Wie alle anderen Deklarationen sind alle Zeilen nach der einem ''#'' __vor__ der Ausführung des Codes im Controller zur Zeit der hexfile-Erstellung im Compiler wichtig. ''#ifndef'' prüft hierbei, ob ein Symbol bereits in einem anderen File definiert wurde. +
-    - ''Header includes'': Header-Dateien sollten bereits aus der Informatik 2 bekannt sein. Bei IDEs wird häufig zwischen Dateien unterschieden,  welche in den Ordnern der IDE und Dateien, welche im Projektordner liegen. Hier sollen folgende Header-Dateien genutzt werden: +
-      - ''<avr/io.h>'': Header-Datei, welche Input/Output Bezeichner für Pins und Ports definiert. Da im Folgenden bestimmte Ports angesprochen werden sollen, ist diese Header-Datei wichtig. Die Header-Datei liegt im Unterordner ''avr'' in den Ordnern der IDE. Diese wurde bereits schon im Bespiel [[1._hello_blinking_world]] verwendet. +
-      - ''<util/delay.h>'': Header-Datei, welche einen einfachen Umgang mit Wartezeiten ermöglicht. Diese wurde bereits schon im Bespiel [[1._hello_blinking_world]] verwendet. +
-      - ''"lcd_lib_de.h"'': Diese Header-Datei sollte im Projektordner eingefügt sein. Bei einem neuen Projekt ist sie dies noch nicht. <WRAP right>{{microcontrollertechnik:atmelstudio_addexistingitem.jpg?400}}</WRAP> <panel type="info" title="To Do: notwendige header-Dateien">**Bitte fügen Sie die Datei lcd_lib_de.h in den Projektordner ein** \\ Dazu sollten Sie im Solution Explorer auf das Projekt rechts-klicken (hier ''2_Sound'') >> Add >> Existing Item... (siehe Bild rechts). \\ Die gewünschte Datei (hier: die heruntergeladene ''lcd_lib_de.h'') auswählen und mit Add hinzufügen. Die Datei sollte nun im Solution Explorer angezeigt werden.</panel> +
-    - ''#defines'': Über ''#defines'' kann vorgegeben werden, welcher Text durch den Präprozessor im Code ersetzt werden soll. Damit können Konstanten oder kurze Codeersetzungen (Makros) vorgegeben werden. In diesem Programm soll ein maximale und minimale Periodendauer, sowie eine Haltedauer für den höchsten und niedrigsten Ton vorgegeben werden. Zusätzlich sind drei Standardmakros vorgegeben, um  +
-      - ein Bit in einem Port zu setzen (''SET_BIT(PORT, BIT)''),  +
-      - ein Bit in einem Port zu löschen (''CLR_BIT(PORT, BIT)''), oder +
-      - ein Bit in einem Port zu invertieren (''TGL_BIT(PORT, BIT)'').  +
-    - ''Funktionsprototypen'': Wie regulär bei der C-Programmierung sollten die verwendeten Funktion dem Compiler bekanntgemacht werden. \\ +
-  - Als nächstes folgt das Hauptprogramm:<sxh c; first-line: 69> +
 // Hauptprogramm ============================================================== // Hauptprogramm ==============================================================
-int main() +int main() // Start des Hauptprogramms 
-+   
- init(); // Ports und Timer 0 initialisieren +    initDisplay(); // Initialisierung Display 
- initDisplay(); // Display aktivieren +      
-  +    unsigned char temp; // temporaere Variable definieren 
- while(1) // Start der unendlichen Schleife +   
-+    while(1) // unendliche Schleife 
- for (OCR0A=MAX_PEROCR0A>MIN_PER; OCR0A--) // Frequenz erhöhen +    
- { +        readButtons(); // aktuelle Tastenwerte einlesen 
- _delay_ms(10); // in Schritten von 10ms  +          
- } +        if (sw1&&sw2temp=ASC_ONE; // Ergebnis der UND-Verknuepfung 
- _delay_ms(WAIT_TIME); // Wartezeit hohe Frequenz +        else          temp=ASC_ZERO; 
- TGL_BIT(PORTB,DDB0); +        lcd_gotoxy(0,6); 
- +        lcd_putc(temp); // auf LCD als Zeichen 0 oder 1 ausgeben 
- for (OCR0A=MIN_PEROCR0A<MAX_PER; OCR0A++) // Frequenz absenken +  
- { +        if (sw1||sw2) temp=ASC_ONE; // Ergebnis der ODER-Verknuepfung 
- _delay_ms(10); // in Schritten von 10 ms +        else          temp=ASC_ZERO; 
- } +        lcd_gotoxy(0,15); 
- _delay_ms(WAIT_TIME); // Wartezeit niedrige Frequenz +        lcd_putc(temp); // auf LCD als Zeichen 0 oder 1 ausgeben 
- TGL_BIT(PORTB,DDB0); +  
- } // Ende der unendlichen Schleife +        if (!sw1)   temp=ASC_ONE; // Ergebnis der Negation 
-} +        else   temp=ASC_ZERO; 
-</sxh> Das Hauptprogramm besteht aus folgenden Teilen: +        lcd_gotoxy(1,4); 
-    - **Initialisierungsteil**: Zu Beginn werden einmalig-abzuarbeitende Programmteile ausgeführt. Darunter fällt insbesondere die Konfiguration der Hardware. Für die Ausgabe eines Signals muss das Direction-Register vorbereitet werden. Zusätzlich muss das Timer-Counter-Modul für die Ausgabe eines Wechselsignals (Pulsweiten-moduliertes SignalPWM-Signalvorbereitet werden. Diese wird über die Unterfunktionen ''init()'' und ''initDisplay()'' vorgenommen +        lcd_putc(temp); // auf LCD als Zeichen 0 oder 1 ausgeben 
-    - **Endlosschleife**: damit ein Programm vom Microcontroller dauerhaft ausgeführt wird, muss dies in einer Schleife eingebunden sein. Diese wird durch das Konstrukt ''while(1){''...''}'' vorgegeben. +  
-    - In der Endlosschleife sind scheinen die Zeilen 77..82 und 84..89 ganz ähnlich auszusehen.  +        if (sw1^sw2)  temp=ASC_ONE; // Ergebnis der XOR-Verknuepfung 
-      - Dort wird zunächst in einer for-Schleife das Register ''OCR0A'' von der maximalen Periodendauer ''MAX_PER'' zur minimalen ''MIN_PER'' heruntergezählt und beim Zählschritt jeweils 10 Millisekunden gewartet (''_delay_ms(10)''). Wie im Video dargestellt, bietet es sich an für die Details zum Output Compare Register (''OCR0A'') en entsprechenden Teil des [[http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-7810-Automotive-Microcontrollers-ATmega328_Datasheet.pdf|ATmega328]]-Datenblatts durchzulesen. Als leichten Einstieg kann auch die [[https://www-user.tu-chemnitz.de/~heha/viewchm.php/hs/ATmegaX8.chm/|deutsche Übersetzung des ATmega88-Datenblatts]] per Index nach ''OCR0A'' durchsucht werden. +        else          temp=ASC_ZERO; 
-      - Nachdem bis zur kürzesten Periode gezählt wurde, soll der höchste Ton die Dauer von ''WAIT_TIME'' Millisekunden gehalten werden.  +        lcd_gotoxy(1,15)
-      - Der Zustand der LED soll dann gewechselt werden. +        lcd_putc(temp); // auf LCD als Zeichen 0 oder ausgeben 
-      - In den Zeilen 84..89 ist das gleiche für eine länger werdende Periodendauer eingefügt. Der einzige Unterschied besteht darin, das in der for-Schleife nun herauf statt herunter gezählt wird. \\ +  
-  - Nach dem Hauptprogramm sind die Unterfunktionen aufgelistet: <sxh c; first-line: 93>+        _delay_ms(100); // Wartezeit 100 ms 
 +  
 +    } // Ende der unendlichen Schleife 
 +  
 +} // Ende des Hauptprogramms 
 + 
 // Funktionen ================================================================= // Funktionen =================================================================
- +  
-// Generelle Initialisierungsfunktion +// Initialisierung Display-Anzeige 
-void init()+void initDisplay(void)
 { {
- initPorts(); // Ports auf Ausgang schalten +    lcd_init(); // Initialisierungsroutine aus der lcd_lib 
- initTimer(); // Timer zur Sounderzeugung starten+                      
 +    lcd_gotoxy(0,0); // Cursor auf 1. Zeile, 1. Zeichen 
 +    lcd_putstr("- Experiment 3 -"); // Ausgabe Festtext: 16 Zeichen 
 +  
 +    lcd_gotoxy(1,0); // Cursor auf 2. Zeile, 1. Zeichen 
 +    lcd_putstr("Logic Functions "); // Ausgabe Festtext: 16 Zeichen 
 +  
 +    _delay_ms(2000); // Wartezeit 2 s 
 +  
 +    lcd_gotoxy(0,0); // Cursor auf 1. Zeile, 1. Zeichen 
 +    lcd_putstr("S1&S2=0  S1+S2=0"); // Ausgabe Festtext: 16 Zeichen 
 +  
 +    lcd_gotoxy(1,0); // Cursor auf 2. Zeile, 1. Zeichen 
 +    lcd_putstr("/S1=1  S1xorS2=0"); // Ausgabe Festtext: 16 Zeichen
 } }
- +  
-// Initialisierung der I/O-Ports +  
-void initPorts() +// Tastenwerte S1 und S2 (ohne Entprellen) einlesen 
-+void readButtons(void) 
- DDRB |(1<<DDB0); // Port B, Pin 0 (zur LEDauf Ausgang + //   Bitposition im Register: 
- DDRD |= (1<<DDD5); // Port D, Pin 5 (zum Buzzer) auf Ausgang+ {//              __76543210 
 +    DDRC DDRC & 0b11111100; // Port B auf Eingabe schalten 
 +    PORTC =       0b00000011; // Pullup-Rs eingeschaltet 
 +    _delay_us(1); // Umschalten der Hardware-Signale abwarten 
 +    sw1 !(PINC & (1 << PC0)); // Tasten invertiert in Bitspeicher einlesen 
 +    sw2 = !(PINC & (1 << PC1)); // somit gedrueckte Taste ="1" 
 +    DDRC = DDRC | 0b00000011; // Port B auf Eingabe schalten
 } }
 +</sxh>
 +</WRAP><WRAP column 55%>
 +''/*=============================================================================''
  
-// Intialisierung des Timers 0 fuer Sounderzeugung +Ändern Sie auch hier wieder die Beschreibung am Anfang des C-Files, je nachdem was Sie entwickeln  \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\  
-void initTimer() +''Deklarationen ===================================''
-+
- TCCR0A (1<<WGM01) |(1<<COM0B0); // CTC Mode waehlen +
- TCCR0B (1<<CS01 | 1<<CS00); // Timer-Vorteiler /64+
  
- OCR0A = MAX_PER; // Start mit tiefstem Ton +  Hier wird wieder nach dem Quarz geprüft und ggfdessen Frequenz eingestellt 
-+  Bei den Header-Dateien wird nun die ''stdbool.h'' Datei inkludiert. Mit dieser wird der Datentyp bool definiert.  
-</sxh>  +  Als Konstanten werden ''NULL'' und ''EINS'' definiertDieser hexadezimalen Zahlencode 0x30 und 0x31 entsprechen ausgebbare Zeichen nach dem [[https://de.wikipedia.org/wiki/American_Standard_Code_for_Information_Interchange#Zusammensetzung|ASCII]] Standard. Der ASCII Standard gibt für jedes darstellbare Zeichen einen Code vor. In <imgref pic1> ist die ASCII Tabelle gezeigt. Dort ist **<fc #CCCC00>horizontal</fc>** die erste Zahl (z.B. 0x**<fc #CCCC00>3</fc>**0) und **<fc #0000FF>vertikal</fc>** die zweite Zahl (0x3**<fc #0000FF>0</fc>**) aufgetragen. Diese führen zu den darstellbaren Zahlen '**<fc #00CC88>0</fc>**und '**<fc #00CC88>1</fc>**'. 
-    ''void init()'': Bei längeren Programmen bietet es sich an eine übergeordnete init-Funktion anzulegen, aus welcher die einzelnen Initialisierungen von Sensoren und ähnlichem aus aufgerufen werden.  +  - Die Variablen ''sw1'' und ''sw2'' sollen im Folgenden den Zustand des Schalters anzeigen
-    - ''void initPorts()'': In dieser Funktion werden die Data Direction Register der Ports B und D korrekt zugewiesen.  +  - Die Makros wurden bereits erklärt 
-    - ''void initTimer()'': für das Timer Modul muss der gewünschte "Clear Timer on Compare" Modus und Hardware-Vorteiler gewählt werdenMit dem Teiler $r_{prescaler}=64$ ergibt sich für die Timer-Schritte pro Sekunde$f_{Timer}= f_{Quarz} r_{prescaler} = 12'288'000 Hz 64 =192'000 Hz$Da mit ''COM0B0=0'' ein Invertieren des Ausgangs eingestellt wurde, wäre die höchste ausgegebene Frequenz $f_{out, max}= f_{Timer}/2 = 96'000 Hz$. Diese würde sich ergebe, wenn der Timer angewiesen würde nur einen Schritt zu zählen. Für eine Frequenz von $f_{out}= 1'600Hz$ muss $OCR0A=f_{out, max}/f_{out}-1=96'000Hz / 1'600Hz - 1= 59$ gesetzt werdenDies entspricht gerade ''MIN_PER = 59''\\ +  Die Funktionsprototypen zeigen wieder die kommenden Unterprogramme an
-  - Ansprechen des Displays: <sxh c; first-line: 118>+
  
-// Initialisierung der Display-Anzeige +<WRAP right><panel type="default">  
-void initDisplay() // Start der Funktion +<imgcaption pic1|ASCII Tabelle> 
-+</imgcaption> 
- lcd_init(); // Initialisierungsroutine aus der lcd_lib +{{drawio>ASCII_Tabelle}} 
-  +</panel></WRAP>
- lcd_gotoxy(0,0);         // Cursor auf 1. Zeile, 1. Zeichen +
- lcd_putstr("- Experiment 2 -"); // Ausgabe Festtext: 16 Zeichen+
  
- lcd_gotoxy(1,0);         // Cursor auf 2. Zeile, 1. Zeichen +''Hauptprogramm =========================''
- lcd_putstr(" Creating Sound "); // Ausgabe Festtext: 16 Zeichen+
  
-} // Ende der Funktion +  - Zunächst werden zwei Initialisierungsroutinen aufgerufen (siehe weiter unten) 
-</sxh> In der Funktion ''void initDisplay'' wird das Display angewiesen Daten auszugeben +  - Dann wird eine temporäre Variable deklariert, welche im Folgenden die das ASCII-Zeichen der Ergebnisse enthält 
-    - ''lcd_init()'': Diese Unterfunktion sollte immer ausgeführt werden, bevor das Display angesprochen werden soll.  +  In der Endlosschleife wird zunächst die Unterfunktion ''readButtons()'' aufgerufen (siehe weiter unten) 
-    - ''lcd_gotoxy(x,y)'': Diese Funktion weist das Display an die kommende Ausgabe an der Position x,y auszugeben+  - die Zeilen 84...102 scheinen sich sehr zu ähneln:  
-    - ''lcd_putstr(string)'': Gibt einen vordefinierten Text an der aktuellen Position aus.+    - Hier steht jeweils zuerst eine ''if''-Anweisung. In Abhängigkeit von der jeweiligen booleschen Funktion wird die temporäre Variable gleich ''NULL'' (also das Zeichen '0'oder ''EINS'' ('1') gesetzt
 +    - Die Funktion ''lcd_gotoxy(0,6)'' versetzt wieder die Position am Display und ''lcd_putc(temp)'' gibt die temporäre Variable aus. \\ \\ 
 +  - Für die verschiedenen booleschen Funktionen steht jeweils eine ''if''-Anweisung bereit. Auch die Position am Display ist abhängig von der booleschen Funktion\\ \\ \\ \\ \\ \\ 
 +  In Zeile ''104'' wird dann eine gewisse Zeit gewartet. Dies vermeidet das "[[https://de.wikipedia.org/wiki/Prellen#Gegenma%C3%9Fnahmen|Prellen]]" des realen Schalters: In Realität wird bei Tastendruck nicht nur einmal der Kontakt geschlossen, sondern häufig mehrmals. Dies kann aber zu fehlerhaften Zuständen führen.
  
 +\\ \\ \\ \\ \\ \\
 +
 +''Funktionen =========================''
 +\\ \\ 
 +  - In ''initDisplay'' wird wieder zunächst das Display initialisiert und die Startanzeige mit dem Namen des Programms angezeigt. Nach 2 Sekunden werden dann die booleschen Funktionen auf dem Display dargestellt. Dort sind die Ergebnisse für nicht gedrückte Schalter vorgegeben. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\
 +  - Funktion ''readButtons'' liest die Schalterstellung aus. 
 +    - Durch die Änderung des Datenrichtungs-Register (DDR) wird die Richtung der Anschlüsse vorgegeben. Es sollen dabei die Schalter S1 und S2 einlesbar gestellt werden (in Simulide durch die Tasten ''a'' und ''s'' schaltbar). Durch die UND-Verknüpfung mit der Maske ''0b11111100'' werden die Anschlüsse C2..C7 nicht geändert, sondern nur die Anschlüsse C0 und C1 auf Eingang gesetzt.
 +    - Die Verzögerung ''_delay_us(1)'' ist im realen Aufbau notwendig, um keine Störungen über die zuvor anliegenden Spannungen zu sehen. Eingangskapazitäten des Displays werden so entladen.
 +    - Mit der Zuweisung von ''0b00000011'' an ''PORTC'' wäre bei Ausgängen der Ausgabewert vorgegeben worden. Bei Eingängen wird über diese Zuweisung jeweils [[https://www.mikrocontroller.net/articles/AVR-Tutorial:_IO-Grundlagen#Pullup-Widerstand|Pullup-Widerstände]] dazu geschalten. Damit ergibt sich aus dem äußeren Schalter und dem internen Widerstand ein Spannungsteiler. Bei leitfähigem Schalter gibt der Spannungsteiler $0~\rm V$ (=logisch $0$) zum Microcontroller aus, bei offenem Schalter $5~\rm V$ (=logisch $1$). 
 +    - Im Register ''PINC'' liegen die dem Schalter entsprechende Bits. Als Eselsbrücke: ''P__I__N'' steht für Input, ''P__O__RT'' für Output. 
 +    - Zum Schluss müssen die Anschlüsse wieder auf Output geschaltet werden, damit danach die Daten für das Display sinnvoll übertragen werden können. \\ \\ \\ \\
 +
 +
 +</WRAP></WRAP>
 <-- <--
 --> IV. Ausführung in Simulide # --> IV. Ausführung in Simulide #
-  - Geben Sie die oben dargestellten Codezeilen nacheinender ein und Kompilieren Sie den Code.+  - Geben Sie die oben dargestellten Codezeilen nacheinander ein und kompilieren Sie den Code.
   - Öffnen Sie Ihre hex-Datei in SimulIDE und testen Sie, ob diese die gleiche Ausgabe erzeugt   - Öffnen Sie Ihre hex-Datei in SimulIDE und testen Sie, ob diese die gleiche Ausgabe erzeugt
  
 <-- <--
 \\ \\
-Sie sollten sich nach der Übung die ersten Kenntnisse mit dem Umgang der Umgebung angeeignet haben. Bitte arbeiten Sie folgende Aufgaben durch:+ 
 +Bitte arbeiten Sie folgende Aufgaben durch:
  
 --> Aufgaben# --> Aufgaben#
-  - Klicken Sie mit rechter Maustaste bei ''main()'' auf ''WAIT_TIME'' und dann auf Goto implementationSie werden feststellendass der Cursor auf die Deklaration des Wertes springtVersuchen Sie selbiges bei ''initDisplay'' an ''lcd_putstr'' und wählen Sie die Variante, welche ein ''**{...}**'' angefügt hatHier sehen Sie die den Code in der header-Dateider für die Übergabe des Strings an das Display verantwortlich istDort kann in gleicher Art ''lcd_putc'' und ''lcd_write'' weiterverfolgt werdenIn ''lcd_write'' und ''lcd_enable'' wird die Übergabe der Werte an das Display abgearbeitet Dazu werden zunächst die Daten am Datenport ausgegeben und anschließend die Steuerleitung gepulst aktiviert\\ Verfolgen Sie ''lcd_gotoxy'' nachWie wird das übertragen? +  - Berechnungen zum ''_delay_us(1)'' in der Funktion ''initTaster''\\ Die Zeitverzögerung von $1~\rm \mu s$ dient dazu, eine gewisse Zeit abzuwarten bis der Ausgangspin auf der positiven Spannung liegtDiese Verzögerung ist wichtigda der interne Pull-up Widerstand und die parasitäre Kapazität des Pins ein RC-Glied erzeugen 
-  Wie ist es möglich bei aufsteigender und abfallender Frequenz einen entsprechenden Text am Display auszugeben? Ändern Sie den Code geeignet. +    - Suchen Sie den Wert des Pull-up Widerstands an einem I/O-Pin im Datenblatt des atmega 88 unter ''Electrical Characteristics''.  
-  - Versuchen Sie das Programm so zu variieren, dass es ein Martinshorn ausgibtSuchen Sie dazu zunächst die benötigten Frequenzen und ändern Sie das Programm passend ab+    - Bestimmen Sie $\tau$ aus der Streukapazität von $C_{\rm s} \approx 10~\rm pF$.  
-  Können Sie eine kleine Melodie ausgeben? Versuchen Sie z.B. "Alle meine Entchen", oder eine Melodie ihrer Wahl.+    - die meisten Befehle des AVR-Microcontrollers benötigen 2 Takte. Bei $10~\rm MHz$ benötigt ein Befehl etwa $\rm 2 \cdot {{1}\over{10~ MHz}} = 2 \cdot 10^{-7}~ s = 0,2~ \mu s$\\ Wie weit ist nach einem Befehl der Streukondensator aufgeladen? 
 +    - Ab wann kann davon ausgegangen werden, dass die parasitäre Kapazität voll aufgeladen ist?  
 +    - Wie viele Takte entsprechen $1~\rm \mu s$ bei einer Taktfrequenz von $8~\rm MHz$?  
 +    - Wann wäre die Kapazität aufgeladen, wenn diese sich durch einen externen IC um ein 10faches erhöht? 
 +  - Die Situation bei einem Eingangspin ist etwas anders: Hier existiert die parasitäre Kapazität auchDiese wird aber mit ca$20~\rm mA$ geladen\\ Nehmen Sie eine High Spannung von $5~\rm V$ an. 
 +    - Wie lange dauert es nun bis die parasitäre Kapazität aufgeladen ist? 
 +    Wie viele Takte entspricht das bei $10~\rm  MHz$? 
 +    - Generell müssen intern im Microcontroller in jedem Takt die Kapazitäten von MOSFETs geladen werden. \\ Wieso werden bei schnelleren Anwendungen (z.B. Mobilgeräten) geringere Versorgungsspannungen (z.B. $1,8~\rm V$) verwendet? 
 +  - ASCII Code: Warum können nicht einfach die Zahlen $0...9$ übertragen werden? Stattdessen müssen diese in ein ASCII Format gewandelt werden. \\ Was würde ausgegeben werden, wenn tatsächlich die Zahlen $0...9$ gesendet werden würden? 
 +  - Erweiterung der Schalteranzahl 
 +    - Fügen Sie zwei weitere Tasten mit Verbindung zu Masse und jeweils den Eingängen ''C2'' und ''C3'' ein - analog zu den vorhandenen SchalternNutzen Sie dazu die auch schon in der Schaltung vorhandenen Komponente ''Bus'' (grüne Verbindung mit schwarzen Stummeln) und korrigieren Sie die das Bit, welches aus dem Bus genutzt wird. 
 +    - Klicken Sie bei den neu eingefügten Schaltern mit Rechtsklick an und wählen Sie im Kontextmenu ''Properties''Links sollten nun die Eigenschaften des Schalters sichtbar sein. Geben Sie als ''Label'' ''S3'' bzw. ''S4'' ein und wählen Sie rechts neben der Labeleingabe ''Show'' mit einem Punkt ausDer Name des Schalters sollte nun sichtbar sein. Übernehmen Sie ansonsten die Schaltung wie bei ''S0'' und ''S1''
 +    - Ändern Sie den Code so, dass diese Schalter eingelesen werden könnenDazu sollten die Funktionen ''initTaster'', ''readButton'' und ''main'' angepasst werden
 +    Als ersten Test sollten die booleschen Funktionen statt den Schaltern ''S1'' und ''S2'' die Schalter ''S3'' und ''S4'' als Eingangswerte haben. Testen Sie diese Änderung. 
 +    - Im nächsten Programm sollen alle Schalter ''S1''...''S4'' die Eingangswerte darstellen. Es sollen nun alle alle Eingänge per Schalter ''S1''...''S4''in die verschiedenen booleschen Funktionen eingehen. Also bei z.B. aus $\rm S1\&S2$ wird $\rm S1\&S2\&S3\&S4$. Überlegen Sie sich wie bei XOR vorzugehen ist
  
 <-- <--
 +
 +
 +
 +  * Diese [[https://www.falstad.com/circuit/circuitjs.html?ctz=CQAgzCAMB0l3BGK0BMBOArAFgwhGUB2SNFBSQkDSKkLCDAUwFo8AoAdyoJBRSxAA2BIN78obAE7cUYgRh4oAHEqh1ikNgGcZ4HgtnLVNJABdJAV0ZsA5rrD6eYQcYlcwSgX3mLxmgA5CInJBog6yECa2oXqywmEuapoAsrreugikvLzQGGwoFCAqIIQIsoTlBXQl+YXkAqWyxRU0AoK1lAjOJWUgZTQt1VgdfVWNfZANVfIjCJ49siKqgwIIIwUDvXNTrRIFnYnj+JW7KLM8R90r4Gypxwt9F92Gubd9h1uHzzl5qRsP-0I3xQrzu8yO4OBoNGm0WYyhvz6Vy2VwRb3qDwxQNELzyABkQMx0KJxkS0CTvjQAGYAQwANlpGLRNPtCcSHswwL1rgAdLRgEZEpSwtnkkrTEB8s4EoUi2UlSkgWkMpkYCQy-jLXpErDLb5IZWM5mCzUclCCHbVPkswpEi1m4Xi3Z8tYa+2k80NRWG1XqwlgZQcgN6nFqH3G1mcwOksBYS0CPl5SNc8ra4NOq1aYYylNB7ne+lGtWaHNxoNl7HZamF302yicitpwQDCV89rJ5tBwgk1taQhsHOdmNDgsq42pZq9ZpogAeJUogjQJljQjQEC8AgACgBJAByAGE2HPOYQF2gkGS2mgBBuQJuAPIAJQAKofjwUkIuL104tfquIABEAMfQ8ZW2DlwMrWRqzHYtBUg7VAV7Pl+0jJYIPBZCtHaMCPlkVgvlDGCiz9VhkXwroKSIpUawjW1KIgi4sOGNCLm1dDeS0RMB0Je5ST40cSJLQlJ3w6dqPDODI1E3jJgzBMuK0NZIwqDlmiw4TmFU0ltP1GjYNIrF2LkqCw1oqT6JM9ixiws40LGdiriwgUwIcij4Qk8yJCpIpWl1PpejjVQeAQXJkEgM5UgMKhA2irAxVxbRdEEDBRGiwQ-DMlVoniIRUpiQRVJoAIYgIVRcpSsI1DWR8AtkehFkCuAkjoGgwGgSIkleaQ8FkMq6roe02uoOBol6qhuwGjAsmKtgAGMpsDcaMEmkwWEC6A0C2uNMDAc8sHQBBKE0LgiD6wMPHkQNNDsdL8vSoqJBEPr9CydLxFkAATRhaQsOlTGYOlGE+voWpgSA1mkaL+uhmahFGrhocDXL+pO3hmv6wFejRhDFnmdIcfxj7VIJtg5hoZh7X6giYtcGAkHIeAmeaxnmbRrS+s7DmHnZ-pabZfzUc4AXgsDHUtWg4XlsDf4ha4R0gpFuh-PZ01FfF-neaqamqeutgsEgCBiCumgVHKx7BDAAB9BArcgK2ZyUcgRCtjA7egW3WBt73WARwlVNSimDaoTt2Zk5hihQfLVfdRD7VJrgI9UKPRGYYOU7cXiqnSSmvD8YW7VWMtC5CNHHQQYvPT6Mscd6dJwITrOaBzqvG5p+u6-z8nCXj8R28y+mJjZ+Ah7Z+aBo7wxHoQdbFmgC18Ai8JFw8ANJZ6zvVk+Yq9HgNhavGspVHGsUd+D9rOuK14fPLstD7LEKwvBs4DaNuT0jN8BVqob37cd53BCu3dp7W2oCra+15mlJavQhZ2HGmdCexRZqJ3TsHNOzdo4F2DoHQk2Ca4jEuiEQh-Bdibn3MpQohD+pULLFufcmgABGfRiC8TQFQGgGU2GaDnMIaujw2GlH-FuP6dJmAAFV-B8h5DyAAdjSGRn1pEyM3AASxkXyfcNJ-A0jYEwtUrB6x4DVJbJA3DZKrAoq0eqvABAARpKYGkSiAIqMkIwOapgVEAHsZFHn9BXXiqd8CGPXDYkAyQVFzUkJ4ua3jzCeLpEDSQwtiHE0MPnEGCD0gIIbiAb6v1-psAySTYpaSvC5J+jSP6phoiZJKbwJBEharZPxqpEQO9Wg0GLF1PI7h5gw30HrJpqldTJ2GUNNQ2CwavDsAglaOIA5w00EUvqk1Zky3Kfk6pyz+YIJGdkPJlSCmnQxjLAOes5xkAaOAPgIBzxCJAPeAAbowSQzAAD0SixEKJeUox58SHE2EYFI2Rm4ommDcR47xujrn1mzoUDKxhoXOHwtNf0Sg4gYEiL4rAXReC4uoEgMgohbyqPUVoMhaw5w4oYGQdhSACA3lCaSvkZDNBAA|Falstad Schaltung]] skizziert die Struktur der Register PINn, PORTn, DDRn
 +