Unterschiede

Hier werden die Unterschiede zwischen zwei Versionen angezeigt.

Link zu dieser Vergleichsansicht

Beide Seiten der vorigen Revision Vorhergehende Überarbeitung
Nächste Überarbeitung
Vorhergehende Überarbeitung
microcontrollertechnik:7_uhr_und_zeitraster [2022/02/24 20:33]
tfischer
microcontrollertechnik:7_uhr_und_zeitraster [2024/01/22 13:46] (aktuell)
mexleadmin
Zeile 1: Zeile 1:
-====== 7Uhr und Zeitraster ======+====== 7 Uhr und Zeitraster ======
  
 ==== Ziele ==== ==== Ziele ====
Zeile 11: Zeile 11:
 --> I. Vorarbeiten # --> I. Vorarbeiten #
   - Laden Sie folgende Datei herunter:    - Laden Sie folgende Datei herunter: 
-    - {{microcontrollertechnik:7_mexleclock.simu}} +    - {{microcontrollertechnik:7._uhr_und_zeitraster.sim1}} 
-    - {{microcontrollertechnik:7_mexleclock.hex}}+    - {{microcontrollertechnik:7._uhr_und_zeitraster.hex}}
     - {{microcontrollertechnik:lcd_lib_de.h}}     - {{microcontrollertechnik:lcd_lib_de.h}}
  
Zeile 18: Zeile 18:
 --> II. Analyse des fertigen Programms # --> II. Analyse des fertigen Programms #
   - Initialisieren des Programms    - Initialisieren des Programms 
-    - Öffnen Sie SimulIDE und öffnen Sie dort mittels {{microcontrollertechnik:simulide_open.jpg?25}} die Datei ''7_mexleclock.simu''  +    - Öffnen Sie SimulIDE und öffnen Sie dort mittels {{microcontrollertechnik:simulide_open.jpg?25}} die Datei ''7._uhr_und_zeitraster.sim1''  
-    - Laden Sie ''7_mexleclock.hex'' als firmware auf den 328 Chip+    - Laden Sie ''7._uhr_und_zeitraster.hex'' als firmware auf den 88 Chip
     - Zunächst wird eine Startanzeige mit dem Namen des Programms dargestellt.     - Zunächst wird eine Startanzeige mit dem Namen des Programms dargestellt.
     - Als nächstes ist im Display eine Uhr mit dem Format HH:MM:SS Menu zu sehen     - Als nächstes ist im Display eine Uhr mit dem Format HH:MM:SS Menu zu sehen
Zeile 26: Zeile 26:
 <-- <--
  
---> III. Eingabe in Atmel Studio #+--> III. Eingabe in Microchip Studio #
 <WRAP group><WRAP column 40%><sxh c; first-line: 1> <WRAP group><WRAP column 40%><sxh c; first-line: 1>
 +
 /* ============================================================================ /* ============================================================================
- 
-Experiment 7: 7_mexleclock mit Stunden-, Minuten- und Sekunden-Anzeige 
-============= ========================================================= 
- 
-Dateiname: 7_MexleClock.c 
- 
-Autoren: Peter Blinzinger 
- Prof. G. Gruhler (Hochschule Heilbronn) 
- D. Chilachava (Georgische Technische Universitaet) 
- 
-Version: 1.2 vom 01.05.2020 
- 
-Hardware: MEXLE2020 Ver. 1.0 oder höher 
- AVR-USB-PROGI Ver. 2.0 
- 
-Software: Entwicklungsumgebung: AtmelStudio 7.0 
- C-Compiler: AVR/GNU C Compiler 5.4.0 
- 
-Funktion: Digitaluhr mit Anzeige von Stunden, Minuten und Sekunden. Eine 
- einfache Stellfunktion ist mit den Tasten S2 und S3 realisiert. 
- 
-Displayanzeige: Start (fuer 2s): Betrieb: 
- +----------------+ +----------------+ 
- |- Experiment 7 -| |=== 00:00:00 ===| 
- | Digital Clock  | |   Std Min      | 
- +----------------+ +----------------+ 
- 
-Tastenfunktion: S2: Std (zaehlt Stunden bei Flanke aufwaerts. Überlauf bei 24) 
- S3: Min (zaehlt Minuten bei Flanke aufwaerts. Überlauf bei 60) 
- (setzt Sekunden beim Druecken zurueck auf 00) 
- 
-Jumperstellung: keine Auswirkung 
- 
-Fuses im uC: CKDIV8: Aus (keine generelle Vorteilung des Takts) 
- 
-Header-Files: lcd_lib_de.h (Library zur Ansteuerung LCD-Display Ver. 1.3) 
- 
-Module: 1) Taktgenerator 
- 2) Zaehler fuer Uhr (Takt: 1 s) 
- 3) Anzeigetreiber (Takt: 100 ms) 
- 4) Stellfunktion  (Takt: 10 ms) 
- 
- Modul 1: Das Modul "Taktgenerator" erzeugt den Takt von 1s fuer die Uhr. 
-    Zusaetzliche Takte: 10 ms fuer Stellfunktion 
-    100 ms fuer Anzeige. 
- 
- Verwendung von Hardware-Timer 0 und T0 Overflow-Interrupt. 
-   Frequenzen: Quarzfrequenz     12,288 MHz. 
-                  Timer-Vorteiler /  8 =>   1,536 MHz 
- Hardware-Timer      /256 =>   6 kHz / 166 µs 
-      Software-Vorteiler / 60 => 100 Hz  /  10 ms 
-                  Hundertstel-Zaehler / 10 =>  10 Hz  / 100 ms 
- Zehntel-Zaehler / 10 =>   1 Hz  /   1 s 
- 
- Modul 2: Das Modul "Zaehler fuer Uhr" wird durch den Takt 1s aufgerufen. 
-    Sekunden, Minuten und Stunden werden als Binaerzahlen gezaehlt 
-    Sekunden und Minuten zaehlen 00..59, die Stunden 00..23. 
-    Ein "Tick" auf dem Lautsprecher wird jede Sekunde ausgegeben. 
- 
-  Modul 3: Das Modul "Anzeigetreiber" startet alle 100 ms. Es gibt die 
-    Hintergrundinformationen und die aktuelle Uhrzeit aus. 
-   Darstellung auf der Anzeige (mittig in Zeile 1):  [23:59:59] 
-  
-  Modul 4: Das Modul "Stellfunktion" ist an den 10 ms-Takt gekoppelt. 
-    Es dient 1. zum Einlesen und Entprellen der Stelltasten 
-    - Auswertung der fallenden Flanke 1=> 0 
- 2. zum Ausfuehren der Stellfunktion: 
-         - S2 zaehlt die Stunden aufwaerts 
-        - S3 zaehlt die Minuten aufwaerts 
-        - solange Taste S3 gedrueckt: Sekunden = 00 
-   (einfache Synchronisierung der Uhr!)  
-    Beim Stellen kein Uebertrag von den Minuten auf die Stunden.  
    
- Die Kopplung der Module wird ueber global definierte Variable realisiert: +Experiment 7:   7_mexleclock mit Stunden-, Minuten- und Sekunden-Anzeige 
- +=============   ========================================================= 
-  1-Bit-Variable: takt10ms: Taktgenerator => Stellfunktion +  
- takt100ms: Taktgenerator => Anzeigetreiber +Dateiname:      7_MexleClock.c 
- takt1s: Taktgenerator => Zaehler fuer Uhr +  
- +Autoren:        Peter Blinzinger 
- 8-Bit-Variable: sekunden Stellfunktion => Zaehler => Anzeige +                Prof. G. Gruhler (Hochschule Heilbronn) 
- minuten +                D. Chilachava    (Georgische Technische Universitaet) 
- stunden +  
 +Version:        1.3 vom 22.10.2022 
 +  
 +Hardware:       MEXLE2020 Ver. 1.0 oder höher 
 +                AVR-USB-PROGI Ver. 2.0 
 +  
 +Software:       Entwicklungsumgebung: AtmelStudio 7.0 
 +                C-Compiler: AVR/GNU C Compiler 5.4.0 
 +  
 +Funktion:       Digitaluhr mit Anzeige von Stunden, Minuten und Sekunden. Eine 
 +                einfache Stellfunktion ist mit den Tasten S2 und S3 realisiert. 
 +  
 +Displayanzeige: Start (fuer 2s):        Betrieb: 
 +                +----------------+      +----------------+ 
 +                |- Experiment 7 -|      |=== 00:00:00 ===| 
 +                | Digital Clock  |      |   Std Min      | 
 +                +----------------+      +----------------+ 
 +  
 +Tastenfunktion: S2: Std (zaehlt Stunden bei Flanke aufwaerts. Überlauf bei 24) 
 +                S3: Min (zaehlt Minuten bei Flanke aufwaerts. Überlauf bei 60) 
 +                        (setzt Sekunden beim Druecken zurueck auf 00) 
 +  
 +Jumperstellung: keine Auswirkung 
 +  
 +Fuses im uC:    CKDIV8: Aus (keine generelle Vorteilung des Takts) 
 +  
 +Header-Files:   lcd_lib_de.h    (Library zur Ansteuerung LCD-Display Ver. 1.3) 
 +  
 +Module:         1) Taktgenerator 
 +                2) Zaehler fuer Uhr (Takt: 1 s) 
 +                3) Anzeigetreiber   (Takt: 100 ms) 
 +                4) Stellfunktion    (Takt: 10 ms) 
 +  
 +    Modul 1:    Das Modul "Taktgenerator" erzeugt den Takt von 1s fuer die Uhr. 
 +                Zusaetzliche Takte: 10 ms fuer Stellfunktion 
 +                                   100 ms fuer Anzeige. 
 +  
 +                Verwendung von Hardware-Timer 0 und T0 Overflow-Interrupt. 
 +                Frequenzen: Quarzfrequenz                   12,288 MHz. 
 +                            Timer-Vorteiler     /  8    =>   1,536 MHz 
 +                            Hardware-Timer      /256    =>   6 kHz / 166 µs 
 +                            Software-Vorteiler  / 60    => 100 Hz  /  10 ms 
 +                            Hundertstel-Zaehler / 10    =>  10 Hz  / 100 ms 
 +                            Zehntel-Zaehler     / 10    =>   1 Hz  /   1 s 
 +  
 +    Modul 2:    Das Modul "Zaehler fuer Uhr" wird durch den Takt 1s aufgerufen. 
 +                Sekunden, Minuten und Stunden werden als Binaerzahlen gezaehlt 
 +                Sekunden und Minuten zaehlen 00..59, die Stunden 00..23. 
 +                Ein "Tick" auf dem Lautsprecher wird jede Sekunde ausgegeben. 
 +  
 +    Modul 3:    Das Modul "Anzeigetreiber" startet alle 100 ms. Es gibt die 
 +                Hintergrundinformationen und die aktuelle Uhrzeit aus. 
 +                Darstellung auf der Anzeige (mittig in Zeile 1):  [23:59:59] 
 +      
 +    Modul 4:    Das Modul "Stellfunktion" ist an den 10 ms-Takt gekoppelt. 
 +                Es dient    1. zum Einlesen und Entprellen der Stelltasten 
 +                               - Auswertung der fallenden Flanke 1=> 0 
 +                            2. zum Ausfuehren der Stellfunktion: 
 +                               - S2 zaehlt die Stunden aufwaerts 
 +                               - S3 zaehlt die Minuten aufwaerts 
 +                               - solange Taste S3 gedrueckt: Sekunden = 00 
 +                                (einfache Synchronisierung der Uhr!)  
 +                Beim Stellen kein Uebertrag von den Minuten auf die Stunden.  
 +   
 +    Die Kopplung der Module wird ueber global definierte Variable realisiert: 
 +  
 +    1-Bit-Variable:     takt10ms:   Taktgenerator => Stellfunktion 
 +                        takt100ms:  Taktgenerator => Anzeigetreiber 
 +                        takt1s:     Taktgenerator => Zaehler fuer Uhr 
 +  
 +    8-Bit-Variable:     sekunden    Stellfunktion => Zaehler => Anzeige 
 +                        minuten 
 +                        stunden 
 + 
 =============================================================================*/ =============================================================================*/
 + 
 // Deklarationen ============================================================== // Deklarationen ==============================================================
 + 
 // Festlegung der Quarzfrequenz // Festlegung der Quarzfrequenz
-#ifndef F_CPU // optional definieren +#ifndef F_CPU                   // optional definieren 
-#define F_CPU 12288000UL // ATmega 328 mit 12,288 MHz Quarz +#define F_CPU 18432000UL        // ATmega 88 mit 18,432 MHz Quarz 
-#endif  +#endif                               
 + 
 // Include von Header-Dateien // Include von Header-Dateien
-#include <avr/io.h> // I/O-Konfiguration (intern weitere Dateien) +#include <avr/io.h>               // I/O-Konfiguration (intern weitere Dateien) 
-#include <avr/interrupt.h> // Definition von Interrupts +#include <avr/interrupt.h>        // Definition von Interrupts 
-#include <util/delay.h> // Definition von Delays (Wartezeiten) +#include <util/delay.h>           // Definition von Delays (Wartezeiten) 
-#include "lcd_lib_de.h" // Header-Datei fuer LCD-Anzeige +#include "lcd_lib_de.h"         // Header-Datei fuer LCD-Anzeige 
 + 
 // Makros // Makros
-#define SET_BIT(PORT, BIT) ((PORT) |=  (1 << (BIT))) // Port-Bit Setzen +#define SET_BIT(BYTE, BIT)  ((BYTE) |=  (1 << (BIT))) // Bit Zustand in Byte setzen 
-#define CLR_BIT(PORT, BIT) ((PORT) &= ~(1 << (BIT))) // Port-Bit Loeschen +#define CLR_BIT(BYTE, BIT)  ((BYTE) &= ~(1 << (BIT))) // Bit Zustand in Byte loeschen 
-#define TGL_BIT(PORT, BIT)  ((PORT) ^=  (1 << (BIT))) // Port-Bit Toggeln +#define TGL_BIT(BYTE, BIT)  ((BYTE) ^=  (1 << (BIT))) // Bit Zustand in Byte wechseln (toggle) 
 + 
 // Konstanten // Konstanten
-#define VORTEILER_WERT 60 // Faktor Vorteiler = 90 +#define PRESCALER_VAL 90      // Faktor Vorteiler = 90 
-#define HUNDERTSTEL_WERT  10   // Faktor Hundertstel = 10 +#define CYCLE10MS_MAX 10      // Faktor Hundertstel = 10 
-#define ZEHNTEL_WERT 10   // Faktor Zehntel = 10 +#define CYCLE100MS_MAX      10      // Faktor Zehntel = 10 
- +  
-#define SPEAK_PORT PORTD // Port-Adresse fuer Lautsprecher +#define SPEAK_PORT          PORTD   // Port-Adresse fuer Lautsprecher 
-#define SPEAK_BIT 5 // Port-Bit fuer Lautsprecher +#define SPEAK_BIT                 // Port-Bit fuer Lautsprecher 
 + 
 #define ASC_NULL            0x30        // Das Zeichen '0' in ASCII #define ASC_NULL            0x30        // Das Zeichen '0' in ASCII
 #define ASC_COLON           0x3A        // Das Zeichen ':' in ASCII #define ASC_COLON           0x3A        // Das Zeichen ':' in ASCII
 +#define INPUT_PIN_MASK 0b00001111 
 + 
 // Variable // Variable
-unsigned char vorteiler VORTEILER_WERT; // Zaehlvariable Vorteiler +unsigned char softwarePrescaler PRESCALER_VAL; // Zaehlvariable Vorteiler 
-unsigned char hundertstel HUNDERTSTEL_WERT; // Zaehlvariable Hundertstel +unsigned char cycle10msCount CYCLE10MS_MAX; // Zaehlvariable Hundertstel 
-unsigned char zehntel ZEHNTEL_WERT; // Zaehlvariable Zehntel +unsigned char cycle100msCount   CYCLE100MS_MAX  // Zaehlvariable Zehntel 
-unsigned char sekunden  = 56; // Variable Sekunden +unsigned char seconds = 56; // Variable Sekunden 
-unsigned char minuten  = 34; // Variable Minuten +unsigned char minutes = 34; // Variable Minuten 
-unsigned char stunden = 12; // Variable Stunden +unsigned char hours = 12; // Variable Stunden 
- +  
-bool timertick; // Bit-Botschaft alle 0,166ms (bei Timer-Interrupt) +bool timertick; // Bit-Botschaft alle 0,166ms (bei Timer-Interrupt) 
-bool takt10ms; // Bit-Botschaft alle 10ms +bool cycle10msActive              // Bit-Botschaft alle 10ms 
-bool takt100ms; // Bit-Botschaft alle 100ms +bool cycle100msActive             // Bit-Botschaft alle 100ms 
-bool takt1s; // Bit-Botschaft alle 1s +bool cycle1sActive                // Bit-Botschaft alle 1s 
- +  
-bool sw2_neu = 1; // Bitspeicher fuer Taste 2 +bool button2_new = 1;               // Bitspeicher fuer Taste 2 
-bool sw3_neu = 1; // Bitspeicher fuer Taste 3 +bool button3_new = 1;               // Bitspeicher fuer Taste 3 
-bool sw2_alt = 1; // alter Wert von Taste 2 +bool button2_old = 1;               // alter Wert von Taste 2 
-bool sw3_alt = 1; // alter Wert von Taste 3+bool button3_old = 1;               // alter Wert von Taste 3
  
 +uint8_t buttonState    = 0b00001111; // Bitspeicher fuer Tasten
 + 
 // Funktionsprototypen // Funktionsprototypen
-void initTaster(void); //Taster initialisieren +void initDisplay(void); // Init Anzeige 
-void initDisplay(void); // Init Anzeige +void setTime(void); // Stellfunktion 
-void uhrStellen(void); // Stellfunktion +void showTime(void); // Anzeigefunktion 
-void uhrAnzeigen(void); // Anzeigefunktion +void refreshTime(void); // Uhrfunktion 
-void uhrZaehlen(void); // Uhrfunktion + 
 // Hauptprogramm ============================================================== // Hauptprogramm ==============================================================
 int main() int main()
 { {
- // Initialisierung +    // Initialisierung 
- initTaster(); //Taster initialisieren +    initDisplay();              // Initialisierung LCD-Anzeige 
- initDisplay(); // Initialisierung LCD-Anzeige +  
- +    TCCR0A = 0;                 // Timer 0 auf "Normal Mode" schalten 
- TCCR0A = 0; // Timer 0 auf "Normal Mode" schalten +    SET_BIT(TCCR0B, CS01);      // mit Prescaler /8 betreiben 
- SET_BIT(TCCR0B, CS01); // mit Prescaler /8 betreiben +    SET_BIT(TIMSK0, TOIE0);     // Overflow-Interrupt aktivieren 
- SET_BIT(TIMSK0, TOIE0); // Overflow-Interrupt aktivieren +  
- +    SET_BIT(DDRD, SPEAK_BIT);   // Speaker-Bit auf Ausgabe 
- SET_BIT(DDRD, SPEAK_BIT); // Speaker-Bit auf Ausgabe +  
- +    sei();                      // generell Interrupts einschalten 
- sei(); // generell Interrupts einschalten +  
- +    // Hauptprogrammschleife 
- // Hauptprogrammschleife +  
- +    while(1)                    // unendliche Warteschleife mit Aufruf der 
- while(1) // unendliche Warteschleife mit Aufruf der +                                // Funktionen abhaengig von Taktbotschaften 
- // Funktionen abhaengig von Taktbotschaften +    
-+        if (cycle10msActive          // alle 10ms: 
- if (takt10ms) // alle 10ms: +        
- +            cycle10msActive = 0;       //      Botschaft "10ms" loeschen 
- takt10ms = 0; // Botschaft "10ms" loeschen +            setTime();       //      Tasten abfragen, Uhr stellen 
- uhrStellen(); // Tasten abfragen, Uhr stellen +        
- +        if (cycle100msActive         // alle 100ms:  
- if (takt100ms) // alle 100ms:  +        
- +            cycle100msActive = 0;      //      Botschaft "100ms" loeschen 
- takt100ms = 0; // Botschaft "100ms" loeschen +            showTime();      //      Uhrzeit auf Anzeige ausgeben 
- uhrAnzeigen(); // Uhrzeit auf Anzeige ausgeben +        
- +        if (cycle1sActive            // alle Sekunden:  
-  if (takt1s) // alle Sekunden:  +        
- +            cycle1sActive = 0;         //      Botschaft "1s" loeschen 
- takt1s = 0; // Botschaft "1s" loeschen +            refreshTime();       //      Uhr weiterzaehlen 
- uhrZaehlen(); // Uhr weiterzaehlen +        
- +    
-+    return 0;
- return 0;+
 } }
 + 
 // Interrupt-Routine ========================================================== // Interrupt-Routine ==========================================================
-ISR (TIMER0_OVF_vect) 
-/* In der Interrupt-Routine sind die Softwareteiler realisiert, die die Takt- 
- botschaften (10ms, 100ms, 1s) fuer die gesamte Uhr erzeugen. Die Interrupts 
- werden von Timer 0 ausgeloest (Interrupt Nr. 1) 
  
- Veraenderte Variable: vorteiler +ISR (TIMER0_OVF_vect) 
-   hunderstel +/*  In der Interrupt-Routine sind die Softwareteiler realisiert, die die Takt- 
-   zehntel +    botschaften (10ms, 100ms, 1s) fuer die gesamte Uhr erzeugen. Die Interrupts 
- +    werden von Timer 0 ausgeloest (Interrupt Nr. 1) 
- Ausgangsvariable:   takt10ms + 
-   takt100ms +
-   takt1s+
 */ */
 { {
- timertick = 1; // Botschaft 0,166ms senden +    timertick = 1;                  // Botschaft 0,166ms senden 
- --vorteiler; // Vorteiler dekrementieren +    --softwarePrescaler                   // Vorteiler dekrementieren 
- if (vorteiler==0) // wenn 0 erreicht: 10ms abgelaufen +    if (softwarePrescaler==0)               // wenn 0 erreicht: 10ms abgelaufen 
-+    
- vorteiler VORTEILER_WERT; //    Vorteiler auf Startwert +        softwarePrescaler PRESCALER_VAL; //    Vorteiler auf Startwert 
- takt10ms = 1; //    Botschaft 10ms senden +        cycle10msActive = 1;               //    Botschaft 10ms senden 
- --hundertstel; //    Hunderstelzaehler dekrementieren +        --cycle10msCount             //    Hunderstelzaehler dekrementieren 
- +  
- if (hundertstel==0) // wenn 0 erreicht: 100ms abgelaufen +        if (cycle10msCount==0)         // wenn 0 erreicht: 100ms abgelaufen 
- +        
- hundertstel HUNDERTSTEL_WERT; // Teiler auf Startwert +            cycle10msCount CYCLE10MS_MAX; // Teiler auf Startwert 
- takt100ms = 1; //    Botschaft 100ms senden +            cycle100msActive = 1;          //    Botschaft 100ms senden 
- --zehntel; //    Zehntelzaehler dekrementieren +            --cycle100msCount             //    Zehntelzaehler dekrementieren 
- +  
- if (zehntel==0) // wenn 0 erreicht: 1s abgelaufen +            if (cycle100msCount==0)         // wenn 0 erreicht: 1s abgelaufen 
- +            
- zehntel ZEHNTEL_WERT; //    Teiler auf Startwert +                cycle100msCount CYCLE100MS_MAX; //    Teiler auf Startwert 
- takt1s = 1; //    Botschaft 1s senden +                cycle1sActive = 1;             //    Botschaft 1s senden 
- +            
- +        
- }+    }
 } }
- +  
-// Taster initialisieren ======================================================= +
-void initTaster(void) +
-+
- DDRB = DDRB & 0xE1; // Port B auf Eingabe schalten +
- PORTB |= 0x1E; // Pullup-Rs eingeschaltet +
- _delay_us(1); // Wartezeit Umstellung Hardware-Signal +
-+
 // Stellfunktion ============================================================== // Stellfunktion ==============================================================
-void uhrStellen(void) +void setTime(void) 
-/*  Die Stellfunktion der Uhr wird alle 10ms aufgerufen. Dadurch wir eine +/*  Die Stellfunktion der Uhr wird alle 10ms aufgerufen. Dadurch wir eine 
-  Entprellung der Tastensignale realisiert. Das Stellen wir bei einer  +    Entprellung der Tastensignale realisiert. Das Stellen wir bei einer  
-  fallenden Flanke des jeweiligen Tastensignals durchgefuehrt. Darum  +    fallenden Flanke des jeweiligen Tastensignals durchgefuehrt. Darum  
-  muss fuer einen weiteren Stellschritt die Taste erneut betaetigt werden. +    muss fuer einen weiteren Stellschritt die Taste erneut betaetigt werden. 
- +  
-  Eine Flanke wird durch (alter Wert == 1) UND (aktueller Wert == 0) erkannt. +    Eine Flanke wird durch (alter Wert == 1) UND (aktueller Wert == 0) erkannt. 
- +  
-  Mit der Taste S2 werden die Stunden aufwaerts gestellt. +    Mit der Taste S2 werden die Stunden aufwaerts gestellt. 
-  Mit der Taste S3 werden die Minuten aufwaerts gestellt (kein Uebertrag) +    Mit der Taste S3 werden die Minuten aufwaerts gestellt (kein Uebertrag) 
-  Solange Taste S3 gedrueckt ist werden die Sekunden auf 00 gehalten +    Solange Taste S3 gedrueckt ist werden die Sekunden auf 00 gehalten 
- +  
-  Veraenderte Variable: stunden +    Veraenderte Variable: stunden 
-   minuten +                          minuten 
-   sekunden +                          sekunden 
- +  
-  Speicher fuer Bits:   sw2Alt +    Speicher fuer Bits:   sw2Alt 
-   sw3Alt+                          sw3Alt
 */ */
 { {
- sw2_neu (PINB & (1 << PB2)); // Tasten von Port einlesen + DDRC DDRC &~INPUT_PIN_MASK; // Port B auf Eingabe schalten 
- sw3_neu = (PINB (1 << PB3));+ PORTC |=   INPUT_PIN_MASK; // Pullup-Rs eingeschaltet 
 + _delay_us(1); // Wartezeit Umstellung Hardware-Signal 
 + buttonState    = (PINC INPUT_PIN_MASK) ; // Hole den Schalterstatus von B1..B4, 0b1 ist hier offener SChalter 
 + DDRC |= INPUT_PIN_MASK; // Port B auf Ausgabe schalten
  
- if ((sw2_neu==0)&(sw2_alt==1)) // wenn Taste 2 eben gedrueckt wurde: +    // Einlesen der Tastensignale    
-+    button2_new = (buttonState & (1 << PC1)); 
- stunden++; //    Stunden hochzaehlen, Ueberlauf bei 23 +    button3_new = (buttonState & (1 << PC2)); 
- if (stunden==24) +      
- stunden = 00; +  
-+    if ((button2_new==0)&(button2_old==1))  // wenn Taste 2 eben gedrueckt wurde: 
- if ((sw3_neu==0)&(sw3_alt==1)) // wenn Taste 3 eben gedrueckt wurde: +    
-+        hours++;                  //    Stunden hochzaehlen, Ueberlauf bei 23 
- minuten++; //    Minuten hochzaehlen, Ueberlauf bei 59 +        if (hours==24) 
- if (minuten==60) +            hours = 00; 
- minuten = 00; +    
-+    if ((button3_new==0)&(button3_old==1))  // wenn Taste 3 eben gedrueckt wurde: 
- if (sw3_neu==0) // solange Taste 3 gedrueckt:  +    
- sekunden = 00; //    Sekunden auf 00 setzen +        minutes++;                  //    Minuten hochzaehlen, Ueberlauf bei 59 
- +        if (minutes==60) 
- sw2_alt sw2_neu; // aktuelle Tastenwerte speichern +            minutes = 00; 
- sw3_alt sw3_neu; //    in Variable fuer alte Werte+    
 +    if (button3_new==0)                 // solange Taste 3 gedrueckt:  
 +        seconds = 00;              //    Sekunden auf 00 setzen 
 +  
 +    button2_old button2_new             // aktuelle Tastenwerte speichern 
 +    button3_old button3_new             //    in Variable fuer alte Werte
 } }
 + 
 // Anzeigefunktion Uhr ======================================================== // Anzeigefunktion Uhr ========================================================
-void uhrAnzeigen(void) +void showTime(void) 
-/* Die Umrechnung der binaeren Zaehlwerte auf BCD ist folgendermaßen geloest:  +/*  Die Umrechnung der binaeren Zaehlwerte auf BCD ist folgendermaßen geloest:  
- Zehner: einfache Integer-Teilung (/10) +    Zehner: einfache Integer-Teilung (/10) 
- Einer:  Modulo-Ermittlung (%10), d.h. Rest bei der Teilung durch 10+    Einer:  Modulo-Ermittlung (%10), d.h. Rest bei der Teilung durch 10
 */ */
 { {
- lcd_gotoxy(0,4);                    // Cursor auf Start der Zeitausgabe setzen +    lcd_gotoxy(0,4);                    // Cursor auf Start der Zeitausgabe setzen 
-  +      
- lcd_putc(ASC_NULL + stunden/10);    // Stunden Zehner als ASCII ausgeben +    lcd_putc(ASC_NULL + hours/10);    // Stunden Zehner als ASCII ausgeben 
- lcd_putc(ASC_NULL + stunden%10);    // Stunden Einer als ASCII ausgeben +    lcd_putc(ASC_NULL + hours%10);    // Stunden Einer als ASCII ausgeben 
- lcd_putc(ASC_COLON);                // Doppelpunkt ausgeben +    lcd_putc(ASC_COLON);                // Doppelpunkt ausgeben 
-  +      
- lcd_putc(ASC_NULL + minuten/10);    // Minuten als ASCII ausgeben +    lcd_putc(ASC_NULL + minutes/10);    // Minuten als ASCII ausgeben 
- lcd_putc(ASC_NULL + minuten%10);    // +    lcd_putc(ASC_NULL + minutes%10);    // 
- lcd_putc(ASC_COLON);                // Doppelpunkt ausgeben +    lcd_putc(ASC_COLON);                // Doppelpunkt ausgeben 
-  +      
- lcd_putc(ASC_NULL + sekunden/10);   // Sekunden als ASCII ausgeben +    lcd_putc(ASC_NULL + seconds/10);   // Sekunden als ASCII ausgeben 
- lcd_putc(ASC_NULL + sekunden%10);   //+    lcd_putc(ASC_NULL + seconds%10);   //
 } }
 + 
 // Initialisierung Display-Anzeige ============================================ // Initialisierung Display-Anzeige ============================================
-void initDisplay() // Start der Funktion+void initDisplay()              // Start der Funktion
 { {
- lcd_init(); // Initialisierungsroutine aus der lcd_lib +    lcd_init();                 // Initialisierungsroutine aus der lcd_lib 
-  +                      
- lcd_gotoxy(0,0);         // Cursor auf 1. Zeile, 1. Zeichen +    lcd_gotoxy(0,0);                // Cursor auf 1. Zeile, 1. Zeichen 
- lcd_putstr("- Experiment 7 -"); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("- Experiment 7 -"); // Ausgabe Festtext: 16 Zeichen 
- +  
- lcd_gotoxy(1,0);         // Cursor auf 2. Zeile, 1. Zeichen +    lcd_gotoxy(1,0);                // Cursor auf 2. Zeile, 1. Zeichen 
- lcd_putstr(" Digital Clock  "); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr(" Digital Clock  "); // Ausgabe Festtext: 16 Zeichen 
- +  
- _delay_ms(2000); // Wartezeit nach Initialisierung +    _delay_ms(2000);            // Wartezeit nach Initialisierung 
- +  
- lcd_gotoxy(0,0);         // Cursor auf 1. Zeile, 1. Zeichen +    lcd_gotoxy(0,0);                // Cursor auf 1. Zeile, 1. Zeichen 
- lcd_putstr("=== 00:00:00 ==="); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("=== 00:00:00 ==="); // Ausgabe Festtext: 16 Zeichen 
- +  
- lcd_gotoxy(1,0);         // Cursor auf 2. Zeile, 1. Zeichen +    lcd_gotoxy(1,0);                // Cursor auf 2. Zeile, 1. Zeichen 
- lcd_putstr("   Std Min      "); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("   Std Min      "); // Ausgabe Festtext: 16 Zeichen 
-} // Ende der Funktion +                              // Ende der Funktion 
 + 
 // Zaehlfunktion Uhr ========================================================== // Zaehlfunktion Uhr ==========================================================
-void uhrZaehlen (void) // wird jede Sekunde gestartet +void refreshTime (void)              // wird jede Sekunde gestartet 
-/* Die Uhr wird im Sekundentakt gezaehlt. Bei jedem Aufruf wird auch ein  +/*  Die Uhr wird im Sekundentakt gezaehlt. Bei jedem Aufruf wird auch ein  
- "Tick" auf dem Lautsprecher ausgegeben. Ueberlaeufe der Sekunden zaehlen +    "Tick" auf dem Lautsprecher ausgegeben. Ueberlaeufe der Sekunden zaehlen 
- die Minuten, die Ueberlaeufe der Minuten die Stunden hoch. +    die Minuten, die Ueberlaeufe der Minuten die Stunden hoch. 
- +  
- Veraenderte Variable: sekunden +    Veraenderte Variable:   sekunden 
- minuten +                            minuten 
- stunden+                            stunden
 */ */
 { {
- TGL_BIT (SPEAK_PORT, SPEAK_BIT); // "Tick" auf Lautsprecher ausgeben +    TGL_BIT (SPEAK_PORT, SPEAK_BIT); // "Tick" auf Lautsprecher ausgeben 
- // durch Invertierung des Portbits +                                    // durch Invertierung des Portbits 
- +  
- sekunden++; // Sekunden hochzaehlen +    seconds++;                     // Sekunden hochzaehlen 
- if (sekunden==60) // bei Überlauf: +    if (seconds==60)               // bei Überlauf: 
-+    
- sekunden = 0; //  Sekunden auf 00 setzen +        seconds = 0;               //  Sekunden auf 00 setzen 
- minuten++; //  Minuten hochzaehlen +        minutes++;                  //  Minuten hochzaehlen 
- if (minuten==60) // bei Ueberlauf: +        if (minutes==60)            //  bei Ueberlauf: 
- +        
- minuten = 0; // Minuten auf 00 setzen +            minutes = 0;            //  Minuten auf 00 setzen 
- stunden++; // Stunden hochzaehlen +            hours++;              //  Stunden hochzaehlen 
- if (stunden==24) // bei Ueberlauf: +            if (hours==24)        //  bei Ueberlauf: 
- stunden = 0; // Stunden auf 00 setzen +                hours = 0;        //  Stunden auf 00 setzen 
- +        
-+    
-}</sxh>+} 
 +</sxh>
 </WRAP><WRAP column 55%> </WRAP><WRAP column 55%>
  
Zeile 378: Zeile 375:
   - Bei den Variablen entsprechen einige denen der letzten Programme.   - Bei den Variablen entsprechen einige denen der letzten Programme.
   - Für die Uhr werden Stunden, Minuten, Sekunden und Zehntelsekunden mit Anfangswerten deklariert. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\   - Für die Uhr werden Stunden, Minuten, Sekunden und Zehntelsekunden mit Anfangswerten deklariert. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\
-  - Bei den Funktionsprototypen sind einige bekannte Unterprogramme vorhanden. Details werden weiter unten erklärt. \\ \\ \\ +  - Bei den Funktionsprototypen sind einige bekannte Unterprogramme vorhanden. Details werden weiter unten erklärt. \\ \\ \\ \\ \\
  
 ''Hauptprogramm ========================='' ''Hauptprogramm =========================''
  
-  - Das Hauptprogramm ähnelt sehr stark dem [[4._up_down_counter|Up/Down Counter]]. Entsprechend werden die Zeilen 143-157 hier nicht weiter erklärt. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ +  - Das Hauptprogramm ähnelt sehr stark dem [[4_up_down_counter|Up/Down Counter]]. Entsprechend werden die Zeilen 143-157 hier nicht weiter erklärt. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ 
   - In der Endlosschleife sind auf der ersten Ebene wieder nur If-Abfragen zu den Flags ''takt10ms'' und ''takt100ms'' zu finden. \\ \\   - In der Endlosschleife sind auf der ersten Ebene wieder nur If-Abfragen zu den Flags ''takt10ms'' und ''takt100ms'' zu finden. \\ \\
-    - Alle $10ms$ (bzw. wenn das entsprechende Flag gesetzt wird) wird das Flag zurückgesetzt und das Unterprogramm ''uhrStellen()'' aufgerufen \\ \\ \\  +    - Alle $10~\rm ms$ (bzw. wenn das entsprechende Flag gesetzt wird) wird das Flag zurückgesetzt und das Unterprogramm ''uhrStellen()'' aufgerufen \\ \\ \\  
-    - Alle $100ms$ (bzw. wenn das entsprechende Flag gesetzt wird) wird das Flag zurückgesetzt und das Unterprogramm ''uhrAnzeigen()'' aufgerufen \\ \\ \\  +    - Alle $100~\rm ms$ (bzw. wenn das entsprechende Flag gesetzt wird) wird das Flag zurückgesetzt und das Unterprogramm ''uhrAnzeigen()'' aufgerufen \\ \\ \\  
-    - Alle $1s$ (bzw. wenn das entsprechende Flag gesetzt wird) wird das Flag zurückgesetzt und das Unterprogramm ''uhrZaehlen()'' aufgerufen \\ \\ \\ \\ \\+    - Alle $1~\rm s$ (bzw. wenn das entsprechende Flag gesetzt wird) wird das Flag zurückgesetzt und das Unterprogramm ''uhrZaehlen()'' aufgerufen \\ \\ \\ \\ 
 ''Interrupt Routine ========================='' ''Interrupt Routine =========================''
-  - Auch die Interrupt Routine ist dem Programm [[4._up_down_counter|Up/Down Counter]] entlehnt und wird hier nicht weiter erklärt. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ +  - Auch die Interrupt Routine ist dem Programm [[4_up_down_counter|Up/Down Counter]] entlehnt und wird hier nicht weiter erklärt. \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\
  
-''Taster initialisieren =============='' +'' Stellfunktion ==============''
-  - Auch das Einstellen des Data Direction Registers und der Pullups wurde bereits in vorherigen Programmen erklärt. \\ \\ \\ \\ +
-'' Stellfunktion  ==============''+
 \\ \\ \\ \\
-  - In dieser Funktion werden zunächst die Stellungen aller Taster eingelesen (vgl. ''counterCounting(void)'' bei [[4._up_down_counter|Up/down Counter]]). \\ \\ \\ +  - In dieser Funktion werden zunächst die Stellungen aller Taster eingelesen (vgl. ''counterCounting(void)'' bei [[4_up_down_counter|Up/down Counter]]). \\ \\ \\ 
-  - Neu hier ist, dass die Bedienung der Schalter die Variablen für Stunden, Minuten um eins hochsetzen, bzw. bei Überlauf wider zurück auf 0 setzen. Zusätzlich wird bei eine Änderung des Minuten-Werts der Sekunden-Wert auf 0 gesetzt.  \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ +  - Neu hier ist, dass die Bedienung der Schalter die Variablen für Stunden, Minuten um eins hochsetzen, bzw. bei Überlauf wider zurück auf 0 setzen. Zusätzlich wird bei eine Änderung des Minuten-Werts der Sekunden-Wert auf 0 gesetzt.  \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\
  
 '' Anzeigefunktion Uhr ========================='' '' Anzeigefunktion Uhr =========================''