Unterschiede

Hier werden die Unterschiede zwischen zwei Versionen angezeigt.

Link zu dieser Vergleichsansicht

Beide Seiten der vorigen Revision Vorhergehende Überarbeitung
Nächste Überarbeitung
Vorhergehende Überarbeitung
microcontrollertechnik:4_up_down_counter [2020/10/13 16:50]
tfischer
microcontrollertechnik:4_up_down_counter [2024/03/11 00:09] (aktuell)
mexleadmin
Zeile 1: Zeile 1:
-====== 4Up-Down Counter ======+====== 4 Up-Down Counter ======
  
 ===== Interrupts - was tun bei Unterbrechungen?===== ===== Interrupts - was tun bei Unterbrechungen?=====
Zeile 14: Zeile 14:
 </WRAP> <WRAP column 65%> </WRAP> <WRAP column 65%>
 ==== Video ==== ==== Video ====
- + 
 +Im Video sind nur die ersten 30 Minuten relevant.  
 +Danach werden verschiedene Sleep-Modes betrachtet - diese sind für uns nicht relevant. 
 + 
 +{{youtube>-PmbowoyZ4c?size=700x400}} 
 + 
 +<WRAP hide>
 {{youtube>vl5H_Q1slYY?size=700x400}} {{youtube>vl5H_Q1slYY?size=700x400}}
 +</WRAP>
  
 </WRAP> </WRAP> </WRAP> </WRAP>
Zeile 23: Zeile 30:
 --> I. Vorarbeiten # --> I. Vorarbeiten #
   - Laden Sie folgende Datei herunter:    - Laden Sie folgende Datei herunter: 
-    - {{microcontrollertechnik:4_up_down_counter.simu}} +    - {{microcontrollertechnik:4._up_down_counter.sim1}} 
-    - {{microcontrollertechnik:4_up-down-counter.hex}}+    - {{microcontrollertechnik:4._up_down_counter.hex}}
     - {{microcontrollertechnik:lcd_lib_de.h}}     - {{microcontrollertechnik:lcd_lib_de.h}}
  
Zeile 31: Zeile 38:
   - Initialisieren des Programms    - Initialisieren des Programms 
     - Öffnen Sie SimulIDE und öffnen Sie dort mittels {{microcontrollertechnik:simulide_open.jpg?25}} die Datei ''4_up_down_counter.simu''      - Öffnen Sie SimulIDE und öffnen Sie dort mittels {{microcontrollertechnik:simulide_open.jpg?25}} die Datei ''4_up_down_counter.simu'' 
-    - Laden Sie ''4_up-down-counter.hex'' als firmware auf den 328 Chip+    - Laden Sie ''4_up-down-counter.hex'' als firmware auf den Atemga 88 Chip
     - Zunächst wird eine Startanzeige mit dem Namen des Programms dargestellt.     - Zunächst wird eine Startanzeige mit dem Namen des Programms dargestellt.
     - Als nächstes ist ein Displaybild zu sehen, in dem die Wirkung der verschiedenen Schalter in der zweiten Zeile zu sehen ist:      - Als nächstes ist ein Displaybild zu sehen, in dem die Wirkung der verschiedenen Schalter in der zweiten Zeile zu sehen ist: 
Zeile 41: Zeile 48:
  
 <-- <--
---> III. Eingabe in Atmel Studio #+--> III. Eingabe in Microchip Studio #
 <WRAP group><WRAP column 40%><sxh c; first-line: 1> <WRAP group><WRAP column 40%><sxh c; first-line: 1>
 /*============================================================================= /*=============================================================================
- +  
-Experiment 4: Up-Down-Counter +Experiment 4:   Up-Down-Counter 
-============= =============== +=============   =============== 
- +  
-Dateiname: Up-Down-Counter_de.c +Dateiname:      Up-Down-Counter_de.c 
- +  
-Autoren: Peter Blinzinger +Autoren:        Peter Blinzinger 
- Marc Neumeister +                Marc Neumeister 
- Prof. G. Gruhler (Hochschule Heilbronn) +                Prof. G. Gruhler (Hochschule Heilbronn) 
- D. Chilachava  (Georgische Technische Universitaet) +                D. Chilachava    (Georgische Technische Universitaet) 
- +  
-Version: 1.2 vom 01.05.2020 +Version:        1.2 vom 01.05.2020 
- +  
-Hardware: MEXLE2020 Ver. 1.0 oder höher +Hardware:       MEXLE2020 Ver. 1.0 oder höher 
- AVR-USB-PROGI Ver. 2.0 +                AVR-USB-PROGI Ver. 2.0 
- +  
-Software: Entwicklungsumgebung: AVR Studio 7.0 +Software:       Entwicklungsumgebung: AVR Studio 7.0 
- C-Compiler:AVR/GENU C Compiler 5.4.0 +                C-Compiler:AVR/GENU C Compiler 5.4.0 
- +  
-Funktion: Es wird ein 4-stelliger Dezimal-Zaehler (0000..9999) mit  +Funktion:       Es wird ein 4-stelliger Dezimal-Zaehler (0000..9999) mit  
- Anzeige und Ueber-/ Unterlauf realisiert. Das Aufwaerts- und  +                Anzeige und Ueber-/ Unterlauf realisiert. Das Aufwaerts- und  
- Abwaertszaehlen wird mit zwei Tasten (S2: +) (S3: -) gesteuert. +                Abwaertszaehlen wird mit zwei Tasten (S2: +) (S3: -) gesteuert. 
- Es werden die Flanken beim Druecken der Tasten ausgewertet.  +                Es werden die Flanken beim Druecken der Tasten ausgewertet.  
- Die Taste S1 dient zum Ruecksetzen des Zaehlers auf 0000. +                Die Taste S1 dient zum Ruecksetzen des Zaehlers auf 0000. 
- +  
-Displayanzeige: Start (fuer 2s): Betrieb: +Displayanzeige: Start (fuer 2s):        Betrieb: 
- +----------------+ +----------------+ +                +----------------+      +----------------+ 
- |- Experiment 4 -| |Up/Down-Counter | +                |- Experiment 4 -|      |Up/Down-Counter | 
- |Up/Down-Counter | |RES  +    0000| +                |Up/Down-Counter |      |RES  +    0000| 
- +----------------+ +----------------+ +                +----------------+      +----------------+ 
- +  
-Tastenfunktion: S1: Reset Counter (ohne Entprellung) +Tastenfunktion: S1: Reset Counter   (ohne Entprellung) 
- S2: (+) Aufwaerts (mit Entprellung) +                S2: (+) Aufwaerts   (mit Entprellung) 
- S3: (-) Abwaerts (mit Entprellung) +                S3: (-) Abwaerts    (mit Entprellung) 
- +  
-Jumperstellung: keine Auswirkung +Jumperstellung: keine Auswirkung 
- +  
-Fuses im uC: CKDIV8: Aus (keine generelle Vorteilung des Takts) +Fuses im uC:    CKDIV8: Aus (keine generelle Vorteilung des Takts) 
- +  
-Header-Files: lcd_lib_de.h (Library zur Ansteuerung LCD-Display Ver. 1.3) +Header-Files:   lcd_lib_de.h    (Library zur Ansteuerung LCD-Display Ver. 1.3) 
 + 
 =============================================================================*/  =============================================================================*/ 
 + 
 // Deklarationen ============================================================== // Deklarationen ==============================================================
 + 
 // Festlegung der Quarzfrequenz // Festlegung der Quarzfrequenz
 #ifndef F_CPU // optional definieren #ifndef F_CPU // optional definieren
-#define F_CPU 12288000UL // ATmega 328 mit 12,288 MHz Quarz  +#define F_CPU 18432000UL // ATmega 88 mit 18.432 MHz Quarz   
-#endif  +#endif                               
 + 
 // Include von Header-Dateien // Include von Header-Dateien
 #include <avr/io.h> // I/O-Konfiguration (intern weitere Dateien) #include <avr/io.h> // I/O-Konfiguration (intern weitere Dateien)
-#include <stdbool.h>  // Bibliothek fuer Bit-Variable+#include <stdbool.h> // Bibliothek fuer Bit-Variable
 #include <avr/interrupt.h> // Definition von Interrupts #include <avr/interrupt.h> // Definition von Interrupts
 #include <util/delay.h> // Definition von Delays (Wartezeiten) #include <util/delay.h> // Definition von Delays (Wartezeiten)
 #include "lcd_lib_de.h" // Header-Datei fuer LCD-Anzeige #include "lcd_lib_de.h" // Header-Datei fuer LCD-Anzeige
 + 
 // Konstanten // Konstanten
-#define VORTEILER_WERT  60 // Faktor Vorteiler = 60 (Timerticks) +#define ASC_ZERO 0x30// ASCII-Zeichen '0' 
-#define HUNDERTSTEL_WERT 10 // Faktor Hundertstel 10 (1/100 s+#define VORTEILER_WERT      90 // Faktor Vorteiler 90 (Timerticks
-#define ZEHNTEL_WERT 10 // Faktor Zehntel = 10 (1/10 s) +#define TAKT10MS_WERT       10 // Faktor Taks10ms  = 10 (1/100 s) 
 + 
 // Variable // Variable
-unsigned char vorteiler  = VORTEILER_WERT; // Zaehlvariable Vorteiler +unsigned char vorteiler         = VORTEILER_WERT;// Zaehlvariable Vorteiler 
-unsigned char hundertstel HUNDERTSTEL_WERT; // Zaehlvariable Hundertstel +unsigned char takt10msZaehler   TAKT10MS_WERT; // Zaehlvariable im 10ms Raster 
- +  
-int counter = 0000; // Variable fuer Zaehler +int counter = 0; // Variable fuer Zaehler 
 + 
 bool timertick; // Bit-Botschaft alle 0,111ms (bei Interrupt) bool timertick; // Bit-Botschaft alle 0,111ms (bei Interrupt)
-bool takt10ms; // Bit-Botschaft alle 10ms +bool takt10ms; // Bit-Botschaft alle 10ms     
-bool takt100ms; // Bit-Botschaft alle 100ms +bool takt100ms; // Bit-Botschaft alle 100ms    
 + 
 bool sw1_neu = 1; // Bitspeicher fuer Taste 1 bool sw1_neu = 1; // Bitspeicher fuer Taste 1
 bool sw2_neu = 1; // Bitspeicher fuer Taste 2 bool sw2_neu = 1; // Bitspeicher fuer Taste 2
 bool sw3_neu = 1; // Bitspeicher fuer Taste 3 bool sw3_neu = 1; // Bitspeicher fuer Taste 3
 + 
 bool sw1_alt = 1; // alter Wert von Taste 1 bool sw1_alt = 1; // alter Wert von Taste 1
 bool sw2_alt = 1; // alter Wert von Taste 2 bool sw2_alt = 1; // alter Wert von Taste 2
 bool sw3_alt = 1; // alter Wert von Taste 3 bool sw3_alt = 1; // alter Wert von Taste 3
 + 
 // Makros // Makros
-#define SET_BIT(PORT, BIT) ((PORT) |=  (1 << (BIT))) // Port-Bit Setzen +#define SET_BIT(BYTE, BIT)  ((BYTE) |=  (1 << (BIT))) // Bit Zustand in Byte setzen 
-#define CLR_BIT(PORT, BIT) ((PORT) &= ~(1 << (BIT))) // Port-Bit Loeschen +#define CLR_BIT(BYTE, BIT)  ((BYTE) &= ~(1 << (BIT))) // Bit Zustand in Byte loeschen 
-#define TGL_BIT(PORT, BIT)  ((PORT) ^=  (1 << (BIT))) // Port-Bit Toggeln +#define TGL_BIT(BYTE, BIT)  ((BYTE) ^=  (1 << (BIT))) // Bit Zustand in Byte wechseln (toggle) 
 +#define GET_BIT(BYTE, BIT)  ((BYTE) &   (1 << (BIT))) // Bit Zustand in Byte einlesen 
 + 
 // Funktionsprototypen // Funktionsprototypen
 void initTaster(void); // Taster initialisieren void initTaster(void); // Taster initialisieren
Zeile 134: Zeile 142:
 void counterCounting(void); // Zaehlfunktion void counterCounting(void); // Zaehlfunktion
 void counterDisplay(void); // Anzeigefunktion void counterDisplay(void); // Anzeigefunktion
 + 
 // Hauptprogramm ============================================================== // Hauptprogramm ==============================================================
 int main() int main()
 { {
- initTaster(); // Taster initialisieren +    initDisplay(); // Initialisierung LCD-Anzeige 
- initDisplay(); // Initialisierung LCD-Anzeige +  
- +    TCCR0A = 0; // Timer 0 auf "Normal Mode" schalten 
- TCCR0A = 0; // Timer 0 auf "Normal Mode" schalten +    TCCR0B |= (1<<CS01); // mit Prescaler /8 betreiben 
- TCCR0B |= (1<<CS01); // mit Prescaler /8 betreiben +    TIMSK0 |= (1<<TOIE0); // Overflow-Interrupt aktivieren 
- TIMSK0 |= (1<<TOIE0); // Overflow-Interrupt aktivieren +  
- +    sei(); // generell Interrupts einschalten 
- sei(); // generell Interrupts einschalten +  
- +    while(1) // unendliche Warteschleife mit Aufruf der
- while(1) // unendliche Warteschleife mit Aufruf der+
  // Funktionen abhaengig von Taktbotschaften  // Funktionen abhaengig von Taktbotschaften
- +    
- if (takt10ms) // alle 10ms: +        if (takt10ms) // alle 10ms: 
- +        
- takt10ms = 0; // Botschaft "10ms" loeschen +            takt10ms = 0; //      Botschaft "10ms" loeschen 
- counterCounting(); // Tasten abfragen, Zaehlfunktion +            counterCounting(); //      Tasten abfragen, Zaehlfunktion 
- +  
- +        
- if (takt100ms) // alle 100ms:  +        if (takt100ms) // alle 100ms:  
- +        
- takt100ms = 0; // Botschaft "100ms" loeschen +            takt100ms = 0; //      Botschaft "100ms" loeschen 
- counterDisplay(); // Zaehlerstand auf Anzeige ausgeben +            counterDisplay(); //      Zaehlerstand auf Anzeige ausgeben 
- +        
-+    
- return 0;+    return 0;
 } }
 + 
 // Interrupt-Routine ========================================================== // Interrupt-Routine ==========================================================
 ISR (TIMER0_OVF_vect) ISR (TIMER0_OVF_vect)
 /* In der Interrupt-Routine sind die Softwareteiler für die Taktbotschaften  /* In der Interrupt-Routine sind die Softwareteiler für die Taktbotschaften 
    (10ms, 100ms) realisiert. Die Interrupts stammen von Timer 0 (Interrupt 1)    (10ms, 100ms) realisiert. Die Interrupts stammen von Timer 0 (Interrupt 1)
- +  
-   Verwendete Variable: vorteiler +   Verwendete Variable: vorteiler 
- hunderstel +                        hunderstel 
- +  
-   Ausgangsvariable: takt10ms +   Ausgangsvariable:    takt10ms 
- takt100ms+                        takt100ms
 */ */
 { {
- timertick = 1; // Botschaft 0,166ms senden +    timertick = 1; // Botschaft 0,111ms senden 
- --vorteiler; // Vorteiler dekrementieren +    --vorteiler; // Vorteiler dekrementieren 
- if (vorteiler==0) // wenn 0 erreicht: 10ms abgelaufen +    if (vorteiler==0) // wenn 0 erreicht: 10ms abgelaufen 
-+    
- vorteiler = VORTEILER_WERT; //    Vorteiler auf Startwert +        vorteiler = VORTEILER_WERT; //    Vorteiler auf Startwert 
- takt10ms = 1; //    Botschaft 10ms senden +        takt10ms = 1; //    Botschaft 10ms senden 
- --hundertstel; //    Hunderstelzähler dekrementieren +        --takt10msZaehler; //    Hunderstelzähler dekrementieren 
- +  
- if (hundertstel==0) // wenn 0 erreicht: 100ms abgelaufen +        if (takt10msZaehler==0) // wenn 0 erreicht: 100ms abgelaufen 
- +        
- hundertstel HUNDERTSTEL_WERT; // Teiler auf Startwert +            takt10msZaehler TAKT10MS_WERT; // Teiler auf Startwert 
- takt100ms = 1; //    Botschaft 100ms senden +            takt100ms = 1; //    Botschaft 100ms senden 
- +        
- }+    }
 } }
- +  
-// Taster initialisieren ======================================================= + 
-void initTaster(void) +
-+
- DDRB = DDRB & 0xE1; // Port B auf Eingabe schalten +
- PORTB |= 0x1E; // Pullup-Rs eingeschaltet +
- _delay_us(10); // Wartezeit Umstellung Hardware-Signal +
-} +
 // Zaehlfunktion ============================================================== // Zaehlfunktion ==============================================================
 void counterCounting(void) void counterCounting(void)
 { {
- // Einlesen der 3 Tastensignale +    //   Bitposition im Register: 
- sw1_neu (PINB (1 << PB1)); // aktuelle Werte der Tasten 1-3 lesen +    //            __76543210 
- sw2_neu (PINB & (1 << PB2))+    DDRC DDRC 0b11111000; // Zunaechst Port B auf Eingabe schalten 
- sw3_neu = (PINB & (1 << PB3));+    PORTC       0b00000111; // Pullup-Rs eingeschaltet 
 +    _delay_us(1); // Umschalten der Hardware-Signale abwarten
  
- // Auswertung der 3 Tasten+    // Einlesen der 3 Tastensignale 
 +    sw1_neu = GET_BIT(PINC, PC0) ;// aktuelle Werte der Tasten 1-3 lesen 
 +    sw2_neu = GET_BIT(PINC, PC1) ; 
 +    sw3_neu = GET_BIT(PINC, PC2) ; 
 +  
 +    // Auswertung der 3 Tasten 
 +  
 +    if (sw1_neu==0) // solange Taste 1 gedrueckt:  
 +        counter = 0000; //    Counter auf 0000 setzen 
 +  
 +    if ((sw2_neu==0)&(sw2_alt==1)) // wenn Taste 2 eben gedrueckt wurde: 
 +    { 
 +        counter++; //    Counter hochzaehlen, Ueberlauf >9999 
 +        if (counter==10000) 
 +            counter = 0; 
 +    } 
 +    if ((sw3_neu==0)&(sw3_alt==1)) // wenn Taste 3 eben gedrueckt wurde: 
 +    { 
 +        counter--; //    Counter herabzaehlen, Unterlauf <0000 
 +        if (counter < 0) 
 +            counter = 9999; //    auf 9999 setzen 
 +    } 
 +  
 +    // Zwischenspeichern aktuelle Tastenwerte 
 +  
 +    sw1_alt = sw1_neu; // aktuelle Tastenwerte umspeichern 
 +    sw2_alt = sw2_neu; //    in Variable für alte Werte 
 +    sw3_alt = sw3_neu;
  
- if (sw1_neu==0) // solange Taste 1 gedrueckt:  +    DDRC DDRC | 0b00000111      // Am Ende Port B wieder auf Ausgabe schalten
- counter = 0000; //    Counter auf 0000 setzen +
- +
- if ((sw2_neu==0)&(sw2_alt==1)) // wenn Taste 2 eben gedrueckt wurde: +
-+
- counter++; //    Counter hochzaehlen, Ueberlauf >9999 +
- if (counter==10000) +
- counter = 0000; +
-+
- if ((sw3_neu==0)&(sw3_alt==1)) // wenn Taste 3 eben gedrueckt wurde: +
-+
- counter--; //    Counter herabzaehlen, Unterlauf <0000 +
- if (counter<0000) +
- counter = 9999; //    auf 9999 setzen +
-+
- +
- // Zwischenspeichern aktuelle Tastenwerte +
- +
- sw1_alt = sw1_neu; // aktuelle Tastenwerte umspeichern +
- sw2_alt = sw2_neu; //    in Variable für alte Werte +
- sw3_alt = sw3_neu;+
 } }
 + 
 // Anzeige Zaehler ============================================================ // Anzeige Zaehler ============================================================
 void counterDisplay(void) void counterDisplay(void)
 { {
- int temp; // lokale temporaere Variable +    int temp; // lokale temporaere Variable 
-  +      
- lcd_gotoxy(1,12); // Cursor auf Ausgabeposition im Display +    lcd_gotoxy(1,12); // Cursor auf Ausgabeposition im Display 
-  +      
- temp = counter; +    temp = counter; 
- lcd_putc(temp/1000+0x30); // Ausgabe Tausender als ASCII-Wert +    lcd_putc(temp/1000+ASC_ZERO); // Ausgabe Tausender als ASCII-Wert 
- +  
- temp = temp%1000; // Divisionrest = Hunderter + Zehner + Einer  +    temp = temp%1000; // Divisionrest = Hunderter + Zehner + Einer  
- lcd_putc(temp/100+0x30); // Ausgabe Hunderter als ASCII-Wert +    lcd_putc(temp/100+ASC_ZERO); // Ausgabe Hunderter als ASCII-Wert 
- +  
- temp = temp%100; // Divisionsrest = Zehner + Einer +    temp = temp%100; // Divisionsrest = Zehner + Einer 
- lcd_putc(temp/10+0x30); // Ausgabe Zehner als ASCII-Wert +    lcd_putc(temp/10+ASC_ZERO); // Ausgabe Zehner als ASCII-Wert 
- lcd_putc(temp%10+0x30); // Ausgabe Einer als ASCII-Wert+    lcd_putc(temp%10+ASC_ZERO); // Ausgabe Einer als ASCII-Wert
 } }
 + 
 // Initialisierung Display-Anzeige ============================================ // Initialisierung Display-Anzeige ============================================
-void initDisplay() // Start der Funktion+void initDisplay() // Start der Funktion
 { {
- lcd_init(); // Initialisierungsroutine aus der lcd_lib +    lcd_init(); // Initialisierungsroutine aus der lcd_lib 
-  +                      
- lcd_gotoxy(0,0);         // Cursor auf 1. Zeile, 1. Zeichen +    lcd_gotoxy(0,0); // Cursor auf 1. Zeile, 1. Zeichen 
- lcd_putstr("- Experiment 4 -"); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("- Experiment 4 -"); // Ausgabe Festtext: 16 Zeichen 
- +  
- lcd_gotoxy(1,0);         // Cursor auf 2. Zeile, 1. Zeichen +    lcd_gotoxy(1,0); // Cursor auf 2. Zeile, 1. Zeichen 
- lcd_putstr("Up/Down-Counter "); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("Up/Down-Counter "); // Ausgabe Festtext: 16 Zeichen 
- +  
- _delay_ms(2000); // Wartezeit nach Initialisierung +    _delay_ms(2000); // Wartezeit nach Initialisierung 
- +  
- lcd_gotoxy(0,0);         // Cursor auf 1. Zeile, 1. Zeichen +    lcd_gotoxy(0,0); // Cursor auf 1. Zeile, 1. Zeichen 
- lcd_putstr("Up/Down-Counter "); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("Up/Down-Counter "); // Ausgabe Festtext: 16 Zeichen 
- +  
- lcd_gotoxy(1,0);         // Cursor auf 2. Zeile, 1. Zeichen +    lcd_gotoxy(1,0); // Cursor auf 2. Zeile, 1. Zeichen 
- lcd_putstr("RES  +    0000"); // Ausgabe Festtext: 16 Zeichen +    lcd_putstr("RES  +    0000"); // Ausgabe Festtext: 16 Zeichen 
-} // Ende der Funktion +} // Ende der Funktion
 </sxh> </sxh>
 </WRAP><WRAP column 55%> </WRAP><WRAP column 55%>
Zeile 287: Zeile 294:
   - Wird die Taste S1 gedrückt, so wird ''sw1_neu'' gesetzt. ''sw1_alt'' entspricht dem vorherigen Wert. Gleiches gibt es für die anderen Taster. \\ \\ \\    - Wird die Taste S1 gedrückt, so wird ''sw1_neu'' gesetzt. ''sw1_alt'' entspricht dem vorherigen Wert. Gleiches gibt es für die anderen Taster. \\ \\ \\ 
   - Die Makros wurden bereits erklärt \\ \\ \\ \\   - Die Makros wurden bereits erklärt \\ \\ \\ \\
-  - Die Funktionsprototypen zeigen wieder die kommenden Unterprogramme an \\ \\ +  - Die Funktionsprototypen zeigen wieder die kommenden Unterprogramme an \\ \\ \\ \\ \\
  
  
Zeile 294: Zeile 301:
   - Zunächst werden zwei Initialisierungsroutinen aufgerufen (siehe weiter unten)   - Zunächst werden zwei Initialisierungsroutinen aufgerufen (siehe weiter unten)
   - Dann werden die "__T__imer/__C__ounter __C__ontrol __R__egister" des Timers __0__ ''TCCR0A'' und ''TCCR0B'' gesetzt. Im verwendeten "Normal Mode" zählt der ein Timer (=Zählerbaustein) im Microprozessor hoch. Die entspricht etwa dem a=a+1 im C Code, nur, dass der Microprozessor dafür keinen Code ausführen muss. Das Register ''TCCR0B'' gibt mit dem Prescaler an, dass das Hochzählen um ein nur alle 8 Prozessortakte erfolgen soll. Der verwendete Timer 0 ist ein 8-Bit Timer. Er zählt also von 0 bis 255, läuft dann über und beginnt wieder bei 0.   - Dann werden die "__T__imer/__C__ounter __C__ontrol __R__egister" des Timers __0__ ''TCCR0A'' und ''TCCR0B'' gesetzt. Im verwendeten "Normal Mode" zählt der ein Timer (=Zählerbaustein) im Microprozessor hoch. Die entspricht etwa dem a=a+1 im C Code, nur, dass der Microprozessor dafür keinen Code ausführen muss. Das Register ''TCCR0B'' gibt mit dem Prescaler an, dass das Hochzählen um ein nur alle 8 Prozessortakte erfolgen soll. Der verwendete Timer 0 ist ein 8-Bit Timer. Er zählt also von 0 bis 255, läuft dann über und beginnt wieder bei 0.
-  - ''TIMSK0'' ist die "__T__imer __I__nterrupt __M__a__SK__" des Timers __0__. Damit kann angegeben werden, ob und wenn ja, welcher Interrupt ausgelöst werden soll. Timer kann damit so konfiguriert werden, dass er keinen Interrupt auslöst, oder einen Interrupt bei einem bestimmten Wert auslöst, oder einen Interrupt beim Überlauf auslöst. \\ Mit dem Bit ''TOIE0'' wird der Interrupt bei Überlauf aktiviert (vgl. [[1https://www-user.tu-chemnitz.de/~heha/viewchm.php/hs/ATmegaX8.chm/15.htm|ATmegaX8 Datenblatt (Kap. 15.9.6)]] oder [[http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-7810-Automotive-Microcontrollers-ATmega328P_Datasheet.pdf#page=88|ATmega328 Datasheet (Kap. 14.9.6)]]).+  - ''TIMSK0'' ist die "__T__imer __I__nterrupt __M__a__SK__" des Timers __0__. Damit kann angegeben werden, ob und wenn ja, welcher Interrupt ausgelöst werden soll. Timer kann damit so konfiguriert werden, dass er keinen Interrupt auslöst, oder einen Interrupt bei einem bestimmten Wert auslöst, oder einen Interrupt beim Überlauf auslöst. \\ Mit dem Bit ''TOIE0'' wird der Interrupt bei Überlauf aktiviert (vgl. [[1https://www-user.tu-chemnitz.de/~heha/viewchm.php/hs/ATmegaX8.chm/15.htm|ATmegaX8 Datenblatt (Kap. 15.9.6)]] oder [[https://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-9365-Automotive-Microcontrollers-ATmega88-ATmega168_Datasheet.pdf#page=75|ATmega88 Datasheet (Kap. 14.9.6)]]).
   - erst mit dem Befehl ''sei()'' wird die Bearbeitung von Interrupts aktiv   - erst mit dem Befehl ''sei()'' wird die Bearbeitung von Interrupts aktiv
-  - in der Endlosschleife sind zwei if-Befehle zu finden, welche über Flags prüfen, ob $10ms$ oder $100ms$ abgelaufen sind. Wenn ja, wird als erstes das Flag zurückgesetzt und dann die gewünschte Unterfunktion aufgerufen. +  - in der Endlosschleife sind zwei if-Befehle zu finden, welche über Flags prüfen, ob $10~\rm ms$ oder $100 ~\rm ms$ abgelaufen sind. Wenn ja, wird als erstes das Flag zurückgesetzt und dann die gewünschte Unterfunktion aufgerufen. 
-  - Die Abfrage der Tasten soll entprellt geschehen. Das ist durch das Abtasten / Einlesen des Signals alle $10ms$ möglich. +  - Die Abfrage der Tasten soll entprellt geschehen. Das ist durch das Abtasten / Einlesen des Signals alle $10 ~\rm ms$ möglich. 
-  - Für die Textanzeige ist eine keine ruckelfreie Darstellung notwendig. Damit kann für die Darstellung der Wert von $30 Hz$ unterschritten werden, über dem ein Bild als flüssig animiert war genommen wird. Eine Anzeige alle $100ms$ ist also ausreichend +  - Für die Textanzeige ist eine keine ruckelfreie Darstellung notwendig. Damit kann für die Darstellung der Wert von $30 ~\rm Hz$ unterschritten werden, über dem ein Bild als flüssig animiert wahrgenommen wird. Eine Anzeige alle $100 ~\rm ms$ ist also ausreichend  \\ \\
 ''Interrupt Routine ========================='' ''Interrupt Routine =========================''
   - Mit dem Befehl ''ISR()'' wird eine Interrupt Service Routine angelegt. Das verwendete ''TIMER0_OVF_vect'' spezifiziert den gewünschten Interrupt, hier den __OV__er__F__low Interrupt für __TIMER0__.    - Mit dem Befehl ''ISR()'' wird eine Interrupt Service Routine angelegt. Das verwendete ''TIMER0_OVF_vect'' spezifiziert den gewünschten Interrupt, hier den __OV__er__F__low Interrupt für __TIMER0__. 
-  - Der Überlauf-Interrupt durch den Timer0 wird erst bei Überlauf des 8-Bit Wert ausgeführt. Das entspricht einer Periode von $T_{ISR}=\frac{256 \cdot Prescaler}{f_{Quarz}} = \frac{256 \cdot 8}{12'288'000 Hz} = 0,16\bar{6}ms$.+  - Der Überlauf-Interrupt durch den Timer0 wird erst bei Überlauf des 8-Bit Wert ausgeführt. Das entspricht einer Periode von $T_{\rm ISR}=\frac{256 \cdot \rm Prescaler}{f_{\rm Quarz}} = \frac{256 \cdot 8}{18'432'000 ~\rm Hz} = 0,1\bar{1}~\rm ms$.
   - Als erstes wird beim Ausführen die boole-Variable ''Timertick'' gesetzt. Diese gibt an: ISR wurde aufgerufen.   - Als erstes wird beim Ausführen die boole-Variable ''Timertick'' gesetzt. Diese gibt an: ISR wurde aufgerufen.
-  - Die Variable ''vorteiler'' ist auch ein Zähler, welcher mit jedem Aufruf von ISR heruntergezählt wird. Mit ''vorteiler = VORTEILER_WERT'' als Ausgangswert (Zeile 65) zählt ''vorteiler'' von 60 herunter. Da ISR alle $0,16\bar{6}ms$ aufgerufen wird, wird ''vorteiler'' alle $60\cdot0,16\bar{6}ms=10ms$ gleich 0. +  - Die Variable ''vorteiler'' ist auch ein Zähler, welcher mit jedem Aufruf von ISR heruntergezählt wird. Mit ''vorteiler = VORTEILER_WERT'' als Ausgangswert (Zeile 65) zählt ''vorteiler'' von 90 herunter. Da die ISR alle $0,1\bar{1}~\rm ms$ aufgerufen wird, wird ''vorteiler'' alle $90\cdot0,1\bar{1}~\rm ms=10~\rm ms$ gleich 0. 
-  - Wenn ''vorteiler'' 0 erreicht wird die Variable wieder auf den Startwert zurückgesetzt und der das Flag für das Erreichen der $10ms$ gesetzt. Um auch $10\cdot10ms$ abzählen zu können, muss nach $10ms$ ''hundertstel'' auch herunter gezählt werden. +  - Wenn ''vorteiler'' 0 erreicht wird die Variable wieder auf den Startwert zurückgesetzt und der das Flag für das Erreichen der $10~\rm ms$ gesetzt. Um auch $10\cdot10~\rm ms$ abzählen zu können, muss nach $10~\rm ms$ ''takt10msZaehler'' auch herunter gezählt werden. 
-  - Erreicht ''hundertstel'' den Wert 0, so wird auch diese Variable auf 0 und ebenso das Flag für das erreichen von $100ms$ zurückgesetzt +  - Erreicht ''takt10msZaehler'' den Wert 0, so wird auch diese Variable auf 0 und ebenso das Flag für das erreichen von $100~\rm ms$ zurückgesetzt 
   - Mit dieser Methode erzeugt der Interrupt nur 3 Flags, die anderweitig ausgelesen werden können, z.B. in ''main()''. Die ISR bleibt also sehr schlank. Wäre in der ISR() viel Code auszuführen, so würde der Prozessor zwischen zwei Interrupts kaum noch Zeit haben, um sich dem unterbrochenen Programm zu widmen.    - Mit dieser Methode erzeugt der Interrupt nur 3 Flags, die anderweitig ausgelesen werden können, z.B. in ''main()''. Die ISR bleibt also sehr schlank. Wäre in der ISR() viel Code auszuführen, so würde der Prozessor zwischen zwei Interrupts kaum noch Zeit haben, um sich dem unterbrochenen Programm zu widmen. 
-''Taster initialisieren =============='' +\\ \\ \\ \\ 
-  - Das Einstellen des Data Direction Registers und der Pullups wurde bereits in vorherigen Programmen erklärt. \\ \\+
 ''Zaehlfunktion =============='' ''Zaehlfunktion ==============''
-\\ \\ \\ + 
-  - Zunächst werden die einzelnen Tastenstellungen mittels verUNDen einer Bitmaske für den jeweiligen Taster aus ''PINB'' in die Variable ausgelesen. \\ \\ \\+  - Zunächst werden die einzelnen Tastenstellungen mittels verUNDen einer Bitmaske für den jeweiligen Taster aus ''PINC'' in die Variable ausgelesen. \\ \\ \\
   - Für die Reaktion auf einen Tastendruck gibt es nun zwei Varianten: \\ a. immer wenn erkannt wird, dass die Taste gedrückt ist (der Schalter geschlossen ist), wird reagiert. \\b. nur beim Wechsel von 'Taster nicht gedrückt' zu 'Taster gedrückt' (Flanke von ''0'' auf ''1'') wird reagiert. \\ Das Zurücksetzen auf 0 soll immer ausgelöst werden; entsprechend wird hier Variante a. gewählt. Der Zähler soll nur zu dem Zeitpunkt Herauf-/Herunterzählen, wenn der Schalter gerade geschlossen wurde; entsprechend wird hier Variante b. gewählt. \\ \\   - Für die Reaktion auf einen Tastendruck gibt es nun zwei Varianten: \\ a. immer wenn erkannt wird, dass die Taste gedrückt ist (der Schalter geschlossen ist), wird reagiert. \\b. nur beim Wechsel von 'Taster nicht gedrückt' zu 'Taster gedrückt' (Flanke von ''0'' auf ''1'') wird reagiert. \\ Das Zurücksetzen auf 0 soll immer ausgelöst werden; entsprechend wird hier Variante a. gewählt. Der Zähler soll nur zu dem Zeitpunkt Herauf-/Herunterzählen, wenn der Schalter gerade geschlossen wurde; entsprechend wird hier Variante b. gewählt. \\ \\
   - Im Falle das Heraufzählens, ist ein Überlauf bei 10000 vorhanden. Im Falle des Herunterzählens, gibt es einen Unterlauf für werte kleiner als 0 - dann wird auf 9999 gesprungen. \\ \\ \\    - Im Falle das Heraufzählens, ist ein Überlauf bei 10000 vorhanden. Im Falle des Herunterzählens, gibt es einen Unterlauf für werte kleiner als 0 - dann wird auf 9999 gesprungen. \\ \\ \\ 
   - Zum Ende dieser Funktion müssen die Schalterstellungen in die Variablen ''sw1_alt'' bis ''sw3_alt'' gespeichert werden. Damit kann beim nächsten Aufruf die Flankendetektion stattfinden.   - Zum Ende dieser Funktion müssen die Schalterstellungen in die Variablen ''sw1_alt'' bis ''sw3_alt'' gespeichert werden. Damit kann beim nächsten Aufruf die Flankendetektion stattfinden.
-\\ \\+\\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\ \\
 ''Anzeige Zaehler ========================='' ''Anzeige Zaehler =========================''
   - Zur Ausgabe des Zählerwerts wird eine Hilfsvariable angelegt und auf eine Position unten rechts auf dem Display gesprungen   - Zur Ausgabe des Zählerwerts wird eine Hilfsvariable angelegt und auf eine Position unten rechts auf dem Display gesprungen
   - Um den Wert ''3456'' auszugeben, wird dieser Schritt für Schritt im Display aufgebaut. Für die Tausenderstelle wird zunächst der Wert $3456/1000$ ohne Nachkommastellen ausgerechnet. Für die Anzeige muss dieser Wert in einen ASCII-Wert umgewandelt werden. Dazu muss ''0x30'' addiert werden.    - Um den Wert ''3456'' auszugeben, wird dieser Schritt für Schritt im Display aufgebaut. Für die Tausenderstelle wird zunächst der Wert $3456/1000$ ohne Nachkommastellen ausgerechnet. Für die Anzeige muss dieser Wert in einen ASCII-Wert umgewandelt werden. Dazu muss ''0x30'' addiert werden. 
   - Für die Hunderterstelle von ''3456'' muss nun vom Tausender-Rest ''456'' wieder die höchste Stelle ausgegeben werden. Der Tausender-Rest kann über die Modulo-Funktion (im Code mittels ''%'') ermittelt werden. Für Zehner- und Einerwert kann aus dem Hunderter-Rest direkt Division durch 10 ohne Rest und gerade dieser Rest verwendet werden   - Für die Hunderterstelle von ''3456'' muss nun vom Tausender-Rest ''456'' wieder die höchste Stelle ausgegeben werden. Der Tausender-Rest kann über die Modulo-Funktion (im Code mittels ''%'') ermittelt werden. Für Zehner- und Einerwert kann aus dem Hunderter-Rest direkt Division durch 10 ohne Rest und gerade dieser Rest verwendet werden
 +\\ \\ \\ 
 ''Initialisierung Display-Anzeige ========================='' ''Initialisierung Display-Anzeige =========================''
   - Hier wird wieder die Startanzeige mit dem Namen des Programms generiert   - Hier wird wieder die Startanzeige mit dem Namen des Programms generiert
Zeile 346: Zeile 352:
  
 <-- <--
 +
 +
 +===== Weiterführendes =====
 +
 +  * Diese [[http://www.falstad.com/circuit/circuitjs.html?ctz=CQAgzCCMCmC0AcICsA6ALEgnPSSAMeYYkaaATGsnsiGhEnJJAFABKI1aisYZtikEH0gFRBISiQSp1PJOZk8AdiiKh8aiMpl4iSgGEAymWYAZVdR2a1YPNo4gAZgEMANgGdoNPGahI+Vn58tvbULh5eMgrKQeqaYABs6nogRiyKKpDw2hpQCYg6KUY+5lk5mtngdkJQTm6e3swA7lCVgWVCeIg+ALIgSpB8+JQDQzo1ZPLmuojDIDPI43xh9ZEc0SoLc-BKQ4O0IAA67krMAB4gmHiCSAl82PQJUtqUAPL6rHgAghuX1du6ZD7SjHBK+K6UOYQxYFBzhBpRcyYRJUSjIpL+WGCeFrHwZS4oqFqJDAo7uNC-TDE6rQkl8EHuJDg6lo4lLWo4xpIypQnnslYRRr47CQmn5IH0snpGIicS8yGk44lKCiVEquX8uqCxGXcVQvXs7GrIUxTB6mn+CUHY4mYWWqGExXuMDg+0WsawgUI9Z9Xazap+mETeS+hLUOZKMNBgIh-qkNVKeOY4NMvoaf2UdPR6TMNMUNXwfPJmOp-pUhPl4s532RhO1quTJnmRLUYjBFFtmpG7XrC5KQjIBIQJS5W5JF4gAAq+gAcpPlbhhFHICjIFHllrvQvLQlKCukrual7cc1aGaQLAuEJeBerz4WmQb5eCjfDz4LphMOAwF-IJgpGA5AHPm04fHgABCp6RuGSRaDB6wtEoO57oQfBvlB8a7Cq+ZYfe-QorkIhrvMshsCquSwBQAh4OelF3g4aDhg4sjyB0i4gIef5oXu4DMGuE6Hq+2h2L+sg0FIMjid4NCcnh7GQLW8lRnJlrnlh54qcI+yEfseFYSQmaaPGeGEfGOnLKe8njICgzdKeNnWQItYmU5ST6c5lmWgZupQMZp7nt556KHZLRBV0-QBOFmkkTFuQmdQuS5Hep75sFDhpXhlhRfwCEMYgSUhbE7SWmQxHRaVSSKJYZUpeIFWdFlhX1WlzX5nhrV7moZBtZZXX5oMlhxZZqFQPsIhCbl41acIdiaLpw2MTFcGDpNeCpSh+bPqtN43lNF41Yh4pXstyWIbWi0naRiGVLtI03np5b9WtE4+GusEjXR1GLZ9nRoF+8DDeFsBrogSgosD4pydcSTA7WiaULDSR6ZawOVCIm0dFDT5cf0UbA+WelRrcuPUPjFmISiaBYWDSRDYhwLU5TuFQfsEYM+TcaUFw1DwxFuWBtznP8FdEUZqLwv8-GXCg0m1TI-SgK89L-PIf0lrK4T4YBkTSNQediv1nLevjorR2AoTeig2bhVKPrPPnSLtsmzz5aCw9QwBq7dP9DdfAjlzzMtFm2zhVTHNKK7h4RwElB4jETsJkTpIuvi0d1hipK2jEhaioZsyknHmzhRGlaktKKi8xG4x0gcTKp0TAZJqSFKp9XAas6SYKp6z1QJOeNcjMNxGUTh5Yj7HQ8w1RKrD91E8tHtP1EVPp3YQjj4zU+G+rZt9Xo+v91QZUlHEfvF71VjfCUeFCfX4Vi97x9GXDVv4iRtwVUq9xatX5jUEETzcGINJYjD9vGRG-MHb9FrHffmZtcYf3EHpX2Pt14HTLDHDB58eqHUthxfMq87hc3tuOEWQ5f4HgxrrFo5DwBoWxtQji30rxENvIVWhN5-Z0NyruUmLDFo4KYZYEYj1574MhG5HWPD8y5FYcTPCtCo6qwUbtfYiixG8L5rzQO+FabUF3PlEWgxiK7W3ofBe9VTHD3QhY76p8KCk3MRYPQ1gryLTktPfq093G9R2sIbePVcCdQEcE0mp8agSV6tY0JF4bHOKEMdFqGkomVUIpYjmA0CiJNDkYsgWF2jNSGviTJQgAo4xCAcfQrwejfAXEk2CaVeCWDhMaKIxS8kBACmQYmFSDDVO+CwUoHTSkNKwk0rsm4TwWPyV0-JQ0LhMEwIIMAxNbIqBWV+CcoFPg-D6LZbgnFxmwEPCWSyOMtqDGYXZXADTvqcW6TDTivYoBEG4EgPcYAdgXhJMBN4+hC7kTCaubywNKYgFcM4AARtAVwvwfzBGqGgExiKQAAGNXDQGcAAJ1+H9Sqi08VCCwpQdFmKcX4m3ic4mh4SWuAANbzlxbRYiGAYYspAAAEwAJZYugKigALlygA9gAO2YCgEAABVAADgAESFU0EV+ghUAFcRX8ugFi+A4EuX8ocBAEQLyQCQqFfy-lQqAC2tA0TgFSKYAA0keGoABFVs+qQDOsELgWoEBnXCC-MED1EBHy2t9TkA4vqAL0Ftc6pIKI40epUEi-otrWAAFFDA0ADSAc10raAQEQBASVAAFDitQ+AACl7UADFXBculbWoV0q02uAtccSANp23HDAMcNAxwkCHCxQASRFQAN01RqrFLa23uASMcJQg6vgio5QAcWcBq6d87e3HHgIO14WK10btbTu9tZB20dqxUu1d67oCbudKCU9g7TBCoAOZctRSO6VKr+V3ovVew9t7j3uFwI+4DfasX7oA7+ntYH214EXcuqDQHd3AbncBntl7EM3t-ZgdtfbgO7ogwe7DQGFLtpQ7hzD16j0zpXDaeDwGF1YufW+1Frxv1fp-UBjDLH33sf5Zx39A6sVVtrfWxtzbSMdvcGe4D0mQOof7YO0TdaG2uCbb+hjsmRDttwzJhjwmVPifU5JmdenZPmZgxQJTVGkO0YXTJ-DpU93EZozaaT3UbTCf-SRmdj5yM2jPSJmtqmJN3u6Z2mTA6ZMobIHp7zWG3MyY8+ZudRG7M2jQ3k7t8HbO+ZtLF2T2XgtibUxp7jWmcvdpg4BGzPmktkDQ7V50F7IP5Za922TKyEPUcAzOogNoHO8GUyF4z5X+vRa69FxI3aHMJd63e4gs3u2Eba0lz53a9OMR6xl50TWuuUaM2V0zvbKvkgY0i3tsnhO8bYxx794WeOvr4-drjtHKO3f44J7jaXPuvbvZd5jz27sCYe9xp7rGvtg784R+rfXe3SZm86KzsWYN-nbdN3tGGZxCtc-D8kiOmso5tGjvTCmYPxjnUqanSpJCHCU8B+nMmmcDoZx2ztSm2dM7PTTxkHPGfHBxCzpnDH2fM959FsXPO+dc-56zvnAvxfuHg7LpX8vJfc+Fwz6XjZ+e69nSLh91ODfG6l1rhXZvOcW81xLo3yuu1y+F4r6X6uHdK5Vwrqn9vnfm41471X0uPfRa96Lm3Mvrf+4j+7p3Ie9d06V-rnX8ek+Te58ntP0WPe7tt-bk9VumRIs4LRK8txHHCClXKhVSrVXqs1dq3VHF+0cFp9FqQHnjdt8i63qAXem-acF6sBnmhIsGZ78z73nfx+j-bxPsfsnp8j77zaSQQhl9SDQ-opUjfldz477v2fM-R8b-3wvqfTfD9N+PxfxkJ-z+L5v1f+-k-5937Pw-rfRWV+f6kN-1fMmv9r5-6NjN7uAoaj4MZgH9qniaLeSaI6K0JMBoT9TzQ0IEL6L9S6z4isIOIcTEw4GUAmpmqWpwrrg3iMSWBkGco8p8qCqiq+AtjXjtiVQ3jl6chtIxDYHhRPCVShwgAWrOBnBnJjzHT7hsLrDFLnIiFjzEqpDTh8T4DgBRgVLwpVA8Qbh1wxAMFxZxoojaGVJyEACEqQlq0q2K66uODgQ6eAAANMcEOpALYe4EOmQI4UOmAI4RWjYccBWg4d4S4d4b6sYRaqYViuYVihwGIKIIcAAI4doiqiCyjXAxFxEECJE6axGHDxHXCyhMDJGZHXAJEEB5HxFEQFEdoZElE5HpEpFMBES5EVFpFRENGpE5HFGlGlFtHZF1FtFVFFENF1FEQ9EDHwb9G1G1HFEAD8Ux0xUxMR8GYqEq8AkKuqyqwRZh5q4RzSp8KgVhtQzS9hEyfAzhtqAQIAbhEaNQQ6KEwEZxbeNwlxDSZaRxmQw4NQnhNAsIPhZa2aFafsKobxBao0bxe4nUbxbebewaIAFasEsE9gFamQmQP+HqUAX4bq+gKq7g5qFqt2v6kWFODOaGDmFGg66JmJlqOJpGou8m2maO+GCma47aDmWQO27WzJ7gZOv4TOqxIRYRTuYu3J6xuOfJYe6uzAheKoVyKoWECAtQSxKxJhgp4R0mApoRGxW+ypCpqpQpO+1+TIC8s0eQEpnARiH0p8BpEMO8CMx05phC-UlOF0fk+pOEM0dpYiZ83ky03kCi-UtYBiUAHkNCx0vpV43sy8S00M-pjCYZCk70F0AZqCtAWEWYYc-MkcmYBCcSOctAh4WZcwl8+05Yi8f8TpCMbEz0+0kMk8+0ikEZwMloUMQMwCso3AohDZLZq4ppKIUMw88kpp9Zw0VC1EDC98EZdAM0BKTiYZRA70LKk55Z5BRptASCA5lAvA1EaBJpqU4Uy0z8+pLBF0BpOBUMAi+kh5ySoU4o7Q5ZgQeEZog0Q5AQ5s-kJUAUZ5jCmA8YFSy0FS+ZhQKo+5m55Qa8nQI5i005i5nY+ZiQX0rYlZe5wQ4oe04yt5+w4FU5XZ-kNg4wZ8a5uUVIhaagSFagt5HYY0BpkFgMnAnEqoeZ-kR01F4gaAcSd5iZ9wlQKZt59FaIl53sIoaofFHFdF95uonAOiZoYs4lEst56suQklbsz5Cs1A-4nS75n5NIUsT5oUMliAH5XMmlBIGINIpFHM+FdCSl4wGsmFlsOlaglloU-wNIiKvFTl5lnAvFtlslWFhUWw1QCwglQcgIKZSy9IYl7MlwqFGFoUHlNlWsYiyl-AOlboE8QqeQgJxpn4UAKA3SHAKAYgmAkYtw2VWxQ4vEKVuA-0DENEswOVXqUAzAZVSZlVGVMguVog+V3B2VpxCkwQzAQAA|Falstad Schaltung]] skizziert die Struktur des Timer/Counters